OpenCores
URL https://opencores.org/ocsvn/yacc/yacc/trunk

Subversion Repositories yacc

[/] [yacc/] [trunk/] [rtl/] [altera/] [ram_regfile32xx32.v] - Blame information for rev 4

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 2 tak.sugawa
// megafunction wizard: %RAM: 3-PORT%
2
// GENERATION: STANDARD
3
// VERSION: WM1.0
4
// MODULE: alt3pram 
5
 
6
// ============================================================
7
// File Name: ram_regfile32xx32.v
8
// Megafunction Name(s):
9
//                      alt3pram
10
// ============================================================
11
// ************************************************************
12
// THIS IS A WIZARD-GENERATED FILE. DO NOT EDIT THIS FILE!
13
//
14
// 4.0 Build 190 1/28/2004 SJ Full Version
15
// ************************************************************
16
 
17
 
18
//Copyright (C) 1991-2004 Altera Corporation
19
//Any  megafunction  design,  and related netlist (encrypted  or  decrypted),
20
//support information,  device programming or simulation file,  and any other
21
//associated  documentation or information  provided by  Altera  or a partner
22
//under  Altera's   Megafunction   Partnership   Program  may  be  used  only
23
//to program  PLD  devices (but not masked  PLD  devices) from  Altera.   Any
24
//other  use  of such  megafunction  design,  netlist,  support  information,
25
//device programming or simulation file,  or any other  related documentation
26
//or information  is prohibited  for  any  other purpose,  including, but not
27
//limited to  modification,  reverse engineering,  de-compiling, or use  with
28
//any other  silicon devices,  unless such use is  explicitly  licensed under
29
//a separate agreement with  Altera  or a megafunction partner.  Title to the
30
//intellectual property,  including patents,  copyrights,  trademarks,  trade
31
//secrets,  or maskworks,  embodied in any such megafunction design, netlist,
32
//support  information,  device programming or simulation file,  or any other
33
//related documentation or information provided by  Altera  or a megafunction
34
//partner, remains with Altera, the megafunction partner, or their respective
35
//licensors. No other licenses, including any licenses needed under any third
36
//party's intellectual property, are provided herein.
37
 
38
 
39
// synopsys translate_off
40
`timescale 1 ps / 1 ps
41
// synopsys translate_on
42
module ram_regfile32xx32 (
43
        data,
44
        wraddress,
45
        rdaddress_a,
46
        rdaddress_b,
47
        wren,
48
        clock,
49
        qa,
50
        qb);
51
 
52
        input   [31:0]  data;
53
        input   [4:0]  wraddress;
54
        input   [4:0]  rdaddress_a;
55
        input   [4:0]  rdaddress_b;
56
        input     wren;
57
        input     clock;
58
        output  [31:0]  qa;
59
        output  [31:0]  qb;
60
 
61
        wire [31:0] sub_wire0;
62
        wire [31:0] sub_wire1;
63
        wire [31:0] qa = sub_wire0[31:0];
64
        wire [31:0] qb = sub_wire1[31:0];
65
 
66
        alt3pram        alt3pram_component (
67
                                .wren (wren),
68
                                .inclock (clock),
69
                                .data (data),
70
                                .rdaddress_a (rdaddress_a),
71
                                .wraddress (wraddress),
72
                                .rdaddress_b (rdaddress_b),
73
                                .qa (sub_wire0),
74
                                .qb (sub_wire1)
75
                                // synopsys translate_off
76
,
77
                                .inclocken (),
78
                                .outclock (),
79
                                .outclocken (),
80
                                .rden_a (),
81
                                .rden_b (),
82
                                .aclr ()
83
                                // synopsys translate_on
84
 
85
);
86
        defparam
87
                alt3pram_component.intended_device_family = "Stratix II",
88
                alt3pram_component.width = 32,
89
                alt3pram_component.widthad = 5,
90
                alt3pram_component.indata_reg = "INCLOCK",
91
                alt3pram_component.write_reg = "INCLOCK",
92
                alt3pram_component.rdaddress_reg_a = "INCLOCK",
93
                alt3pram_component.rdaddress_reg_b = "INCLOCK",
94
                alt3pram_component.rdcontrol_reg_a = "UNREGISTERED",
95
                alt3pram_component.rdcontrol_reg_b = "UNREGISTERED",
96
                alt3pram_component.outdata_reg_a = "UNREGISTERED",
97
                alt3pram_component.outdata_reg_b = "UNREGISTERED",
98
                alt3pram_component.outdata_aclr_a = "OFF",
99
                alt3pram_component.outdata_aclr_b = "OFF",
100
                alt3pram_component.lpm_type = "alt3pram",
101
                alt3pram_component.lpm_hint = "USE_EAB=ON";
102
 
103
 
104
endmodule
105
 
106
// ============================================================
107
// CNX file retrieval info
108
// ============================================================
109
// Retrieval info: PRIVATE: WidthData NUMERIC "32"
110
// Retrieval info: PRIVATE: WidthAddr NUMERIC "5"
111
// Retrieval info: PRIVATE: Clock NUMERIC "0"
112
// Retrieval info: PRIVATE: rden_a NUMERIC "0"
113
// Retrieval info: PRIVATE: rden_b NUMERIC "0"
114
// Retrieval info: PRIVATE: REGdata NUMERIC "1"
115
// Retrieval info: PRIVATE: REGwrite NUMERIC "1"
116
// Retrieval info: PRIVATE: REGrdaddress_a NUMERIC "1"
117
// Retrieval info: PRIVATE: REGrdaddress_b NUMERIC "1"
118
// Retrieval info: PRIVATE: REGrren_a NUMERIC "0"
119
// Retrieval info: PRIVATE: REGrren_b NUMERIC "0"
120
// Retrieval info: PRIVATE: REGqa NUMERIC "0"
121
// Retrieval info: PRIVATE: REGqb NUMERIC "0"
122
// Retrieval info: PRIVATE: enable NUMERIC "0"
123
// Retrieval info: PRIVATE: CLRdata NUMERIC "0"
124
// Retrieval info: PRIVATE: CLRwrite NUMERIC "0"
125
// Retrieval info: PRIVATE: CLRrdaddress_a NUMERIC "0"
126
// Retrieval info: PRIVATE: CLRrdaddress_b NUMERIC "0"
127
// Retrieval info: PRIVATE: CLRrren_a NUMERIC "0"
128
// Retrieval info: PRIVATE: CLRrren_b NUMERIC "0"
129
// Retrieval info: PRIVATE: CLRqa NUMERIC "0"
130
// Retrieval info: PRIVATE: CLRqb NUMERIC "0"
131
// Retrieval info: PRIVATE: BlankMemory NUMERIC "1"
132
// Retrieval info: PRIVATE: MIFfilename STRING ""
133
// Retrieval info: PRIVATE: UseLCs NUMERIC "0"
134
// Retrieval info: PRIVATE: RAM_BLOCK_TYPE NUMERIC "0"
135
// Retrieval info: PRIVATE: MAXIMUM_DEPTH NUMERIC "0"
136
// Retrieval info: PRIVATE: INIT_FILE_LAYOUT STRING "PORT_A"
137
// Retrieval info: PRIVATE: INTENDED_DEVICE_FAMILY STRING "Stratix II"
138
// Retrieval info: PRIVATE: MEGAFN_PORT_INFO_0 STRING "wren;data;wraddress;inclock;inclocken"
139
// Retrieval info: PRIVATE: MEGAFN_PORT_INFO_1 STRING "outclock;outclocken;rden_a;rden_b;rdaddress_a"
140
// Retrieval info: PRIVATE: MEGAFN_PORT_INFO_2 STRING "rdaddress_b;aclr;qa;qb"
141
// Retrieval info: CONSTANT: INTENDED_DEVICE_FAMILY STRING "Stratix II"
142
// Retrieval info: CONSTANT: WIDTH NUMERIC "32"
143
// Retrieval info: CONSTANT: WIDTHAD NUMERIC "5"
144
// Retrieval info: CONSTANT: INDATA_REG STRING "INCLOCK"
145
// Retrieval info: CONSTANT: WRITE_REG STRING "INCLOCK"
146
// Retrieval info: CONSTANT: RDADDRESS_REG_A STRING "INCLOCK"
147
// Retrieval info: CONSTANT: RDADDRESS_REG_B STRING "INCLOCK"
148
// Retrieval info: CONSTANT: RDCONTROL_REG_A STRING "UNREGISTERED"
149
// Retrieval info: CONSTANT: RDCONTROL_REG_B STRING "UNREGISTERED"
150
// Retrieval info: CONSTANT: OUTDATA_REG_A STRING "UNREGISTERED"
151
// Retrieval info: CONSTANT: OUTDATA_REG_B STRING "UNREGISTERED"
152
// Retrieval info: CONSTANT: OUTDATA_ACLR_A STRING "OFF"
153
// Retrieval info: CONSTANT: OUTDATA_ACLR_B STRING "OFF"
154
// Retrieval info: CONSTANT: LPM_TYPE STRING "alt3pram"
155
// Retrieval info: CONSTANT: LPM_HINT STRING "USE_EAB=ON"
156
// Retrieval info: USED_PORT: data 0 0 32 0 INPUT NODEFVAL data[31..0]
157
// Retrieval info: USED_PORT: qa 0 0 32 0 OUTPUT NODEFVAL qa[31..0]
158
// Retrieval info: USED_PORT: qb 0 0 32 0 OUTPUT NODEFVAL qb[31..0]
159
// Retrieval info: USED_PORT: wraddress 0 0 5 0 INPUT NODEFVAL wraddress[4..0]
160
// Retrieval info: USED_PORT: rdaddress_a 0 0 5 0 INPUT NODEFVAL rdaddress_a[4..0]
161
// Retrieval info: USED_PORT: rdaddress_b 0 0 5 0 INPUT NODEFVAL rdaddress_b[4..0]
162
// Retrieval info: USED_PORT: wren 0 0 0 0 INPUT VCC wren
163
// Retrieval info: USED_PORT: clock 0 0 0 0 INPUT NODEFVAL clock
164
// Retrieval info: CONNECT: @data 0 0 32 0 data 0 0 32 0
165
// Retrieval info: CONNECT: qa 0 0 32 0 @qa 0 0 32 0
166
// Retrieval info: CONNECT: qb 0 0 32 0 @qb 0 0 32 0
167
// Retrieval info: CONNECT: @wraddress 0 0 5 0 wraddress 0 0 5 0
168
// Retrieval info: CONNECT: @rdaddress_a 0 0 5 0 rdaddress_a 0 0 5 0
169
// Retrieval info: CONNECT: @rdaddress_b 0 0 5 0 rdaddress_b 0 0 5 0
170
// Retrieval info: CONNECT: @wren 0 0 0 0 wren 0 0 0 0
171
// Retrieval info: CONNECT: @inclock 0 0 0 0 clock 0 0 0 0
172
// Retrieval info: LIBRARY: altera_mf altera_mf.altera_mf_components.all
173
// Retrieval info: GEN_FILE: TYPE_NORMAL ram_regfile32xx32.v TRUE
174
// Retrieval info: GEN_FILE: TYPE_NORMAL ram_regfile32xx32.inc FALSE
175
// Retrieval info: GEN_FILE: TYPE_NORMAL ram_regfile32xx32.cmp FALSE
176
// Retrieval info: GEN_FILE: TYPE_NORMAL ram_regfile32xx32.bsf FALSE
177
// Retrieval info: GEN_FILE: TYPE_NORMAL ram_regfile32xx32_inst.v FALSE
178
// Retrieval info: GEN_FILE: TYPE_NORMAL ram_regfile32xx32_bb.v TRUE

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.