OpenCores
URL https://opencores.org/ocsvn/yacc/yacc/trunk

Subversion Repositories yacc

[/] [yacc/] [trunk/] [syn/] [altera/] [fifo512_cyclone_bb.v] - Blame information for rev 4

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 2 tak.sugawa
// megafunction wizard: %FIFO%VBB%
2
// GENERATION: STANDARD
3
// VERSION: WM1.0
4
// MODULE: scfifo 
5
 
6
// ============================================================
7
// File Name: fifo512_cyclone.v
8
// Megafunction Name(s):
9
//                      scfifo
10
// ============================================================
11
// ************************************************************
12
// THIS IS A WIZARD-GENERATED FILE. DO NOT EDIT THIS FILE!
13
//
14
// 4.2 Build 178 01/19/2005 SP 1 SJ Web Edition
15
// ************************************************************
16
 
17
//Copyright (C) 1991-2005 Altera Corporation
18
//Any  megafunction  design,  and related netlist (encrypted  or  decrypted),
19
//support information,  device programming or simulation file,  and any other
20
//associated  documentation or information  provided by  Altera  or a partner
21
//under  Altera's   Megafunction   Partnership   Program  may  be  used  only
22
//to program  PLD  devices (but not masked  PLD  devices) from  Altera.   Any
23
//other  use  of such  megafunction  design,  netlist,  support  information,
24
//device programming or simulation file,  or any other  related documentation
25
//or information  is prohibited  for  any  other purpose,  including, but not
26
//limited to  modification,  reverse engineering,  de-compiling, or use  with
27
//any other  silicon devices,  unless such use is  explicitly  licensed under
28
//a separate agreement with  Altera  or a megafunction partner.  Title to the
29
//intellectual property,  including patents,  copyrights,  trademarks,  trade
30
//secrets,  or maskworks,  embodied in any such megafunction design, netlist,
31
//support  information,  device programming or simulation file,  or any other
32
//related documentation or information provided by  Altera  or a megafunction
33
//partner, remains with Altera, the megafunction partner, or their respective
34
//licensors. No other licenses, including any licenses needed under any third
35
//party's intellectual property, are provided herein.
36
 
37
module fifo512_cyclone (
38
        data,
39
        wrreq,
40
        rdreq,
41
        clock,
42
        q,
43
        full,
44
        empty);
45
 
46
        input   [7:0]  data;
47
        input     wrreq;
48
        input     rdreq;
49
        input     clock;
50
        output  [7:0]  q;
51
        output    full;
52
        output    empty;
53
 
54
endmodule
55
 
56
// ============================================================
57
// CNX file retrieval info
58
// ============================================================
59
// Retrieval info: PRIVATE: Width NUMERIC "8"
60
// Retrieval info: PRIVATE: Depth NUMERIC "512"
61
// Retrieval info: PRIVATE: Clock NUMERIC "0"
62
// Retrieval info: PRIVATE: CLOCKS_ARE_SYNCHRONIZED NUMERIC "0"
63
// Retrieval info: PRIVATE: Full NUMERIC "1"
64
// Retrieval info: PRIVATE: Empty NUMERIC "1"
65
// Retrieval info: PRIVATE: UsedW NUMERIC "0"
66
// Retrieval info: PRIVATE: AlmostFull NUMERIC "0"
67
// Retrieval info: PRIVATE: AlmostEmpty NUMERIC "0"
68
// Retrieval info: PRIVATE: AlmostFullThr NUMERIC "-1"
69
// Retrieval info: PRIVATE: AlmostEmptyThr NUMERIC "-1"
70
// Retrieval info: PRIVATE: sc_aclr NUMERIC "0"
71
// Retrieval info: PRIVATE: sc_sclr NUMERIC "0"
72
// Retrieval info: PRIVATE: rsFull NUMERIC "0"
73
// Retrieval info: PRIVATE: rsEmpty NUMERIC "1"
74
// Retrieval info: PRIVATE: rsUsedW NUMERIC "0"
75
// Retrieval info: PRIVATE: wsFull NUMERIC "1"
76
// Retrieval info: PRIVATE: wsEmpty NUMERIC "0"
77
// Retrieval info: PRIVATE: wsUsedW NUMERIC "0"
78
// Retrieval info: PRIVATE: dc_aclr NUMERIC "0"
79
// Retrieval info: PRIVATE: LegacyRREQ NUMERIC "1"
80
// Retrieval info: PRIVATE: RAM_BLOCK_TYPE NUMERIC "0"
81
// Retrieval info: PRIVATE: MAX_DEPTH_BY_9 NUMERIC "0"
82
// Retrieval info: PRIVATE: LE_BasedFIFO NUMERIC "0"
83
// Retrieval info: PRIVATE: Optimize NUMERIC "2"
84
// Retrieval info: PRIVATE: OVERFLOW_CHECKING NUMERIC "0"
85
// Retrieval info: PRIVATE: UNDERFLOW_CHECKING NUMERIC "0"
86
// Retrieval info: PRIVATE: INTENDED_DEVICE_FAMILY STRING "Cyclone"
87
// Retrieval info: CONSTANT: LPM_WIDTH NUMERIC "8"
88
// Retrieval info: CONSTANT: LPM_NUMWORDS NUMERIC "512"
89
// Retrieval info: CONSTANT: LPM_WIDTHU NUMERIC "9"
90
// Retrieval info: CONSTANT: INTENDED_DEVICE_FAMILY STRING "Cyclone"
91
// Retrieval info: CONSTANT: LPM_TYPE STRING "scfifo"
92
// Retrieval info: CONSTANT: LPM_SHOWAHEAD STRING "OFF"
93
// Retrieval info: CONSTANT: OVERFLOW_CHECKING STRING "ON"
94
// Retrieval info: CONSTANT: UNDERFLOW_CHECKING STRING "ON"
95
// Retrieval info: CONSTANT: USE_EAB STRING "ON"
96
// Retrieval info: CONSTANT: ADD_RAM_OUTPUT_REGISTER STRING "OFF"
97
// Retrieval info: USED_PORT: data 0 0 8 0 INPUT NODEFVAL data[7..0]
98
// Retrieval info: USED_PORT: q 0 0 8 0 OUTPUT NODEFVAL q[7..0]
99
// Retrieval info: USED_PORT: wrreq 0 0 0 0 INPUT NODEFVAL wrreq
100
// Retrieval info: USED_PORT: rdreq 0 0 0 0 INPUT NODEFVAL rdreq
101
// Retrieval info: USED_PORT: clock 0 0 0 0 INPUT NODEFVAL clock
102
// Retrieval info: USED_PORT: full 0 0 0 0 OUTPUT NODEFVAL full
103
// Retrieval info: USED_PORT: empty 0 0 0 0 OUTPUT NODEFVAL empty
104
// Retrieval info: CONNECT: @data 0 0 8 0 data 0 0 8 0
105
// Retrieval info: CONNECT: q 0 0 8 0 @q 0 0 8 0
106
// Retrieval info: CONNECT: @wrreq 0 0 0 0 wrreq 0 0 0 0
107
// Retrieval info: CONNECT: @rdreq 0 0 0 0 rdreq 0 0 0 0
108
// Retrieval info: CONNECT: @clock 0 0 0 0 clock 0 0 0 0
109
// Retrieval info: CONNECT: full 0 0 0 0 @full 0 0 0 0
110
// Retrieval info: CONNECT: empty 0 0 0 0 @empty 0 0 0 0
111
// Retrieval info: LIBRARY: altera_mf altera_mf.altera_mf_components.all
112
// Retrieval info: GEN_FILE: TYPE_NORMAL fifo512_cyclone.v TRUE
113
// Retrieval info: GEN_FILE: TYPE_NORMAL fifo512_cyclone.inc FALSE
114
// Retrieval info: GEN_FILE: TYPE_NORMAL fifo512_cyclone.cmp FALSE
115
// Retrieval info: GEN_FILE: TYPE_NORMAL fifo512_cyclone.bsf FALSE
116
// Retrieval info: GEN_FILE: TYPE_NORMAL fifo512_cyclone_inst.v FALSE
117
// Retrieval info: GEN_FILE: TYPE_NORMAL fifo512_cyclone_bb.v TRUE
118
// Retrieval info: GEN_FILE: TYPE_NORMAL fifo512_cyclone_waveforms.html TRUE
119
// Retrieval info: GEN_FILE: TYPE_NORMAL fifo512_cyclone_wave*.jpg FALSE

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.