OpenCores
URL https://opencores.org/ocsvn/yacc/yacc/trunk

Subversion Repositories yacc

[/] [yacc/] [trunk/] [syn/] [xilinx/] [ram1k1.v] - Blame information for rev 4

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 2 tak.sugawa
/*******************************************************************************
2
*     This file is owned and controlled by Xilinx and must be used             *
3
*     solely for design, simulation, implementation and creation of            *
4
*     design files limited to Xilinx devices or technologies. Use              *
5
*     with non-Xilinx devices or technologies is expressly prohibited          *
6
*     and immediately terminates your license.                                 *
7
*                                                                              *
8
*     XILINX IS PROVIDING THIS DESIGN, CODE, OR INFORMATION "AS IS"            *
9
*     SOLELY FOR USE IN DEVELOPING PROGRAMS AND SOLUTIONS FOR                  *
10
*     XILINX DEVICES.  BY PROVIDING THIS DESIGN, CODE, OR INFORMATION          *
11
*     AS ONE POSSIBLE IMPLEMENTATION OF THIS FEATURE, APPLICATION              *
12
*     OR STANDARD, XILINX IS MAKING NO REPRESENTATION THAT THIS                *
13
*     IMPLEMENTATION IS FREE FROM ANY CLAIMS OF INFRINGEMENT,                  *
14
*     AND YOU ARE RESPONSIBLE FOR OBTAINING ANY RIGHTS YOU MAY REQUIRE         *
15
*     FOR YOUR IMPLEMENTATION.  XILINX EXPRESSLY DISCLAIMS ANY                 *
16
*     WARRANTY WHATSOEVER WITH RESPECT TO THE ADEQUACY OF THE                  *
17
*     IMPLEMENTATION, INCLUDING BUT NOT LIMITED TO ANY WARRANTIES OR           *
18
*     REPRESENTATIONS THAT THIS IMPLEMENTATION IS FREE FROM CLAIMS OF          *
19
*     INFRINGEMENT, IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS          *
20
*     FOR A PARTICULAR PURPOSE.                                                *
21
*                                                                              *
22
*     Xilinx products are not intended for use in life support                 *
23
*     appliances, devices, or systems. Use in such applications are            *
24
*     expressly prohibited.                                                    *
25
*                                                                              *
26
*     (c) Copyright 1995-2004 Xilinx, Inc.                                     *
27
*     All rights reserved.                                                     *
28
*******************************************************************************/
29
// The synopsys directives "translate_off/translate_on" specified below are
30
// supported by XST, FPGA Compiler II, Mentor Graphics and Synplicity synthesis
31
// tools. Ensure they are correct for your synthesis tool(s).
32
 
33
// You must compile the wrapper file ram1k1.v when simulating
34
// the core, ram1k1. When compiling the wrapper file, be sure to
35
// reference the XilinxCoreLib Verilog simulation library. For detailed
36
// instructions, please refer to the "CORE Generator Help".
37
 
38
`timescale 1ns/1ps
39
 
40
module ram1k1(
41
        addra,
42
        addrb,
43
        clka,
44
        clkb,
45
        dina,
46
        dinb,
47
        douta,
48
        doutb,
49
        wea,
50
        web);
51
 
52
 
53
input [11 : 0] addra;
54
input [11 : 0] addrb;
55
input clka;
56
input clkb;
57
input [7 : 0] dina;
58
input [7 : 0] dinb;
59
output [7 : 0] douta;
60
output [7 : 0] doutb;
61
input wea;
62
input web;
63
 
64
// synopsys translate_off
65
 
66
      BLKMEMDP_V6_1 #(
67
                12,     // c_addra_width
68
                12,     // c_addrb_width
69
                "0",     // c_default_data
70
                4096,   // c_depth_a
71
                4096,   // c_depth_b
72
                0,       // c_enable_rlocs
73
                0,       // c_has_default_data
74
                1,      // c_has_dina
75
                1,      // c_has_dinb
76
                1,      // c_has_douta
77
                1,      // c_has_doutb
78
                0,       // c_has_ena
79
                0,       // c_has_enb
80
                0,       // c_has_limit_data_pitch
81
                0,       // c_has_nda
82
                0,       // c_has_ndb
83
                0,       // c_has_rdya
84
                0,       // c_has_rdyb
85
                0,       // c_has_rfda
86
                0,       // c_has_rfdb
87
                0,       // c_has_sinita
88
                0,       // c_has_sinitb
89
                1,      // c_has_wea
90
                1,      // c_has_web
91
                18,     // c_limit_data_pitch
92
                "ram1k1.mif",   // c_mem_init_file
93
                0,       // c_pipe_stages_a
94
                0,       // c_pipe_stages_b
95
                0,       // c_reg_inputsa
96
                0,       // c_reg_inputsb
97
                "0",     // c_sinita_value
98
                "0",     // c_sinitb_value
99
                8,      // c_width_a
100
                8,      // c_width_b
101
                0,       // c_write_modea
102
                0,       // c_write_modeb
103
                "0",     // c_ybottom_addr
104
                1,      // c_yclka_is_rising
105
                1,      // c_yclkb_is_rising
106
                1,      // c_yena_is_high
107
                1,      // c_yenb_is_high
108
                "hierarchy1",   // c_yhierarchy
109
                0,       // c_ymake_bmm
110
                "16kx1",        // c_yprimitive_type
111
                1,      // c_ysinita_is_high
112
                1,      // c_ysinitb_is_high
113
                "1024", // c_ytop_addr
114
                0,       // c_yuse_single_primitive
115
                1,      // c_ywea_is_high
116
                1,      // c_yweb_is_high
117
                1)      // c_yydisable_warnings
118
        inst (
119
                .ADDRA(addra),
120
                .ADDRB(addrb),
121
                .CLKA(clka),
122
                .CLKB(clkb),
123
                .DINA(dina),
124
                .DINB(dinb),
125
                .DOUTA(douta),
126
                .DOUTB(doutb),
127
                .WEA(wea),
128
                .WEB(web),
129
                .ENA(),
130
                .ENB(),
131
                .NDA(),
132
                .NDB(),
133
                .RFDA(),
134
                .RFDB(),
135
                .RDYA(),
136
                .RDYB(),
137
                .SINITA(),
138
                .SINITB());
139
 
140
 
141
// synopsys translate_on
142
 
143
// FPGA Express black box declaration
144
// synopsys attribute fpga_dont_touch "true"
145
// synthesis attribute fpga_dont_touch of ram1k1 is "true"
146
 
147
// XST black box declaration
148
// box_type "black_box"
149
// synthesis attribute box_type of ram1k1 is "black_box"
150
 
151
endmodule
152
 

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.