OpenCores
URL https://opencores.org/ocsvn/yacc/yacc/trunk

Subversion Repositories yacc

[/] [yacc/] [trunk/] [syn/] [xilinx/] [ram1k2_flist.txt] - Blame information for rev 4

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 2 tak.sugawa
# Output products list for 
2
ram1k2.asy
3
ram1k2.edn
4
ram1k2.mif
5
ram1k2.sym
6
ram1k2.v
7
ram1k2.veo
8
ram1k2.vhd
9
ram1k2.vho
10
ram1k2.xco
11
ram1k2_flist.txt

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.