OpenCores
URL https://opencores.org/ocsvn/yacc/yacc/trunk

Subversion Repositories yacc

[/] [yacc/] [trunk/] [syn/] [xilinx/] [ram_module_test.v] - Blame information for rev 4

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 2 tak.sugawa
module ram_module_test;
2
 
3
        reg clock=0,sync_reset=1;
4
        reg wren=0;
5
        reg [31:0] datain=0;
6
        reg M_signed=0;
7
        reg [7:0] uread_port=0;
8
        reg write_busy=0;//Apr.2.2005
9
 
10
        reg [13:0] Paddr=0,Daddr=0;//4KB address
11
        reg  [13:0] DaddrD=0;
12
 
13
        reg [1:0] access_mode=0;
14
 
15
        wire [31:0] IR;//Instrcuntion Register
16
        wire [31:0] MOUT;//data out
17
        integer i;
18
        always #10 clock=~clock;
19
 
20
        initial begin
21
                #105 sync_reset=0;
22
                for (i=0;i< 100;i=i+1) begin
23
                        Paddr=Paddr+1;
24
                        @(negedge clock);
25
 
26
                end
27
 
28
        end
29
 
30
 
31
ram_module_altera dut(
32
         .clock(clock),
33
         .sync_reset(sync_reset),
34
         .IR(IR),
35
         .MOUT(MOUT),
36
         .Paddr(Paddr),
37
         .Daddr(Daddr),
38
         .wren(wren),
39
         .datain(datain),
40
         .access_mode(access_mode),
41
         .M_signed(M_signed),
42
         .uread_port(uread_port),
43
         .write_busy(write_busy));
44
 
45
 
46
 
47
 
48
 
49
endmodule

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.