OpenCores
URL https://opencores.org/ocsvn/yacc/yacc/trunk

Subversion Repositories yacc

[/] [yacc/] [trunk/] [syn/] [xilinx/] [shifter_xilinx.v] - Blame information for rev 4

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 2 tak.sugawa
//Jul.5.2004 reduce critical path cell
2
//Apr.5.2005 always @(*)
3
`include "define.h"
4
module
5
shifter(a,c,shift_func,shift_amount);
6
        input [31:0] a;
7
        output [31:0] c;
8
        input [1:0] shift_func;
9
        input [4:0] shift_amount;
10
 
11
         reg [31:0] c;
12
 
13
        always @ (*) begin //
14
                 if (!shift_func[1]  ) begin
15
                        case (shift_amount[4:0] )
16
                                5'b00000: c=a;
17
                                5'b00001: c={a[30:0],1'b0};
18
                                5'b00010: c={a[29:0],2'b00};
19
                                5'b00011: c={a[28:0],3'b000};
20
                                5'b00100: c={a[27:0],4'b0000};
21
                                5'b00101: c={a[26:0],5'b0_0000};
22
                                5'b00110: c={a[25:0],6'b00_0000};
23
                                5'b00111: c={a[24:0],7'b000_0000};
24
                                5'b01000: c={a[23:0],8'b0000_0000};
25
                                5'b01001: c={a[22:0],9'b0_0000_0000};
26
                                5'b01010: c={a[21:0],10'b00_0000_0000};
27
                                5'b01011: c={a[20:0],11'b000_0000_0000};
28
                                5'b01100: c={a[19:0],12'b0000_0000_0000};
29
                                5'b01101: c={a[18:0],13'b0_0000_0000_0000};
30
                                5'b01110: c={a[17:0],14'b00_0000_0000_0000};
31
                              5'b01111: c={a[16:0],15'b000_0000_0000_0000};
32
                                5'b10000: c={a[15:0],16'b0000_0000_0000_0000};
33
                                5'b10001: c={a[14:0],16'b0000_0000_0000_0000,1'b0};
34
                                5'b10010: c={a[13:0],16'b0000_0000_0000_0000,2'b00};
35
                                5'b10011: c={a[12:0],16'b0000_0000_0000_0000,3'b000};
36
                                5'b10100: c={a[11:0],16'b0000_0000_0000_0000,4'b0000};
37
                                5'b10101: c={a[10:0],16'b0000_0000_0000_0000,5'b0_0000};
38
                                5'b10110: c={a[9:0],16'b0000_0000_0000_0000,6'b00_0000};
39
                                5'b10111: c={a[8:0],16'b0000_0000_0000_0000,7'b000_0000};
40
                                5'b11000: c={a[7:0],16'b0000_0000_0000_0000,8'b0000_0000};
41
                                5'b11001: c={a[6:0],16'b0000_0000_0000_0000,9'b0_0000_0000};
42
                                5'b11010: c={a[5:0],16'b0000_0000_0000_0000,10'b00_0000_0000};
43
                                5'b11011: c={a[4:0],16'b0000_0000_0000_0000,11'b000_0000_0000};
44
                                5'b11100: c={a[3:0],16'b0000_0000_0000_0000,12'b0000_0000_0000};
45
                                5'b11101: c={a[2:0],16'b0000_0000_0000_0000,13'b0_0000_0000_0000};
46
                                5'b11110: c={a[1:0],16'b0000_0000_0000_0000,14'b00_0000_0000_0000};
47
                              5'b11111: c={a[0],16'b0000_0000_0000_0000,15'b000_0000_0000_0000};
48
                        endcase
49
                end else if (shift_func==`SHIFT_RIGHT_UNSIGNED) begin
50
                        case (shift_amount)
51
                                5'b00000: c=a;
52
                                5'b00001: c={1'b0,a[31:1]};
53
                                5'b00010: c={2'b00,a[31:2]};
54
                                5'b00011: c={3'b000,a[31:3]};
55
                                5'b00100: c={4'b0000,a[31:4]};
56
                                5'b00101: c={5'b0_0000,a[31:5]};
57
                                5'b00110: c={6'b00_0000,a[31:6]};
58
                                5'b00111: c={7'b000_0000,a[31:7]};
59
                                5'b01000: c={8'b0000_0000,a[31:8]};
60
                                5'b01001: c={9'b0_0000_0000,a[31:9]};
61
                                5'b01010: c={10'b00_0000_0000,a[31:10]};
62
                                5'b01011: c={11'b000_0000_0000,a[31:11]};
63
                                5'b01100: c={12'b0000_0000_0000,a[31:12]};
64
                                5'b01101: c={13'b0_0000_0000_0000,a[31:13]};
65
                                5'b01110: c={14'b00_0000_0000_0000,a[31:14]};
66
                              5'b01111: c={15'b000_0000_0000_0000,a[31:15]};
67
                                5'b10000: c={16'b0000_0000_0000_0000,a[31:16]};
68
                                5'b10001: c={16'b0000_0000_0000_0000,1'b0,a[31:17]};
69
                                5'b10010: c={16'b0000_0000_0000_0000,2'b00,a[31:18]};
70
                                5'b10011: c={16'b0000_0000_0000_0000,3'b000,a[31:19]};
71
                                5'b10100: c={16'b0000_0000_0000_0000,4'b0000,a[31:20]};
72
                                5'b10101: c={16'b0000_0000_0000_0000,5'b0_0000,a[31:21]};
73
                                5'b10110: c={16'b0000_0000_0000_0000,6'b00_0000,a[31:22]};
74
                                5'b10111: c={16'b0000_0000_0000_0000,7'b000_0000,a[31:23]};
75
                                5'b11000: c={16'b0000_0000_0000_0000,8'b0000_0000,a[31:24]};
76
                                5'b11001: c={16'b0000_0000_0000_0000,9'b0_0000_0000,a[31:25]};
77
                                5'b11010: c={16'b0000_0000_0000_0000,10'b00_0000_0000,a[31:26]};
78
                                5'b11011: c={16'b0000_0000_0000_0000,11'b000_0000_0000,a[31:27]};
79
                                5'b11100: c={16'b0000_0000_0000_0000,12'b0000_0000_0000,a[31:28]};
80
                                5'b11101: c={16'b0000_0000_0000_0000,13'b0_0000_0000_0000,a[31:29]};
81
                                5'b11110: c={16'b0000_0000_0000_0000,14'b00_0000_0000_0000,a[31:30]};
82
                              5'b11111: c={16'b0000_0000_0000_0000,15'b000_0000_0000_0000,a[31:31]};
83
                        endcase
84
                end else begin// SHIFT_RIGHT_SIGNED
85
                        case (shift_amount)
86
                                5'b00000: c=a;
87
                                5'b00001: c={a[31],a[31:1]};
88
                                5'b00010: c={{2{a[31]}},a[31:2]};
89
                                5'b00011: c={{3{a[31]}},a[31:3]};
90
                                5'b00100: c={{4{a[31]}},a[31:4]};
91
                                5'b00101: c={{5{a[31]}},a[31:5]};
92
                                5'b00110: c={{6{a[31]}},a[31:6]};
93
                                5'b00111: c={{7{a[31]}},a[31:7]};
94
                                5'b01000: c={{8{a[31]}},a[31:8]};
95
                                5'b01001: c={{9{a[31]}},a[31:9]};
96
                                5'b01010: c={{10{a[31]}},a[31:10]};
97
                                5'b01011: c={{11{a[31]}},a[31:11]};
98
                                5'b01100: c={{12{a[31]}},a[31:12]};
99
                                5'b01101: c={{13{a[31]}},a[31:13]};
100
                                5'b01110: c={{14{a[31]}},a[31:14]};
101
                              5'b01111: c={{15{a[31]}},a[31:15]};
102
                                5'b10000: c={{16{a[31]}},a[31:16]};
103
                                5'b10001: c={{17{a[31]}},a[31:17]};
104
                                5'b10010: c={{18{a[31]}},a[31:18]};
105
                                5'b10011: c={{19{a[31]}},a[31:19]};
106
                                5'b10100: c={{20{a[31]}},a[31:20]};
107
                                5'b10101: c={{21{a[31]}},a[31:21]};
108
                                5'b10110: c={{22{a[31]}},a[31:22]};
109
                                5'b10111: c={{23{a[31]}},a[31:23]};
110
                                5'b11000: c={{24{a[31]}},a[31:24]};
111
                                5'b11001: c={{25{a[31]}},a[31:25]};
112
                                5'b11010: c={{26{a[31]}},a[31:26]};
113
                                5'b11011: c={{27{a[31]}},a[31:27]};
114
                                5'b11100: c={{28{a[31]}},a[31:28]};
115
                                5'b11101: c={{29{a[31]}},a[31:29]};
116
                                5'b11110: c={{30{a[31]}},a[31:30]};
117
                              5'b11111: c={{31{a[31]}},a[31:31]};
118
                        endcase
119
                end
120
        end
121
 
122
 
123
 
124
 
125
 
126
 
127
endmodule

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.