OpenCores
URL https://opencores.org/ocsvn/yacc/yacc/trunk

Subversion Repositories yacc

[/] [yacc/] [trunk/] [syn/] [xilinx/] [test_bench.v] - Blame information for rev 4

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 2 tak.sugawa
`timescale 1ns/1ps
2
module s3_test_bench;
3
        reg clk=0;
4
        wire tx,rx;
5
        reg [3:0] button;
6
 
7
        initial begin
8
                button=4'b1111;//HIGH ACTIVE RESET 
9
                #800;
10
                button=4'b0000;
11
 
12
        end
13
 
14
        always #10 clk=~clk;
15
 
16
 s3_vsmpl s3(
17
  .tx(tx),.rx(rx),.button(button),.clk(clk)
18
);
19
 
20
 
21
endmodule
22
 

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.