OpenCores
URL https://opencores.org/ocsvn/yifive/yifive/trunk

Subversion Repositories yifive

[/] [yifive/] [trunk/] [caravel_yifive/] [verilog/] [gl/] [user_project_wrapper.v] - Blame information for rev 2

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 2 dinesha
module user_project_wrapper (user_clock2,
2
    wb_clk_i,
3
    wb_rst_i,
4
    wbs_ack_o,
5
    wbs_cyc_i,
6
    wbs_stb_i,
7
    wbs_we_i,
8
    vccd1,
9
    vssd1,
10
    vccd2,
11
    vssd2,
12
    vdda1,
13
    vssa1,
14
    vdda2,
15
    vssa2,
16
    analog_io,
17
    io_in,
18
    io_oeb,
19
    io_out,
20
    la_data_in,
21
    la_data_out,
22
    la_oenb,
23
    user_irq,
24
    wbs_adr_i,
25
    wbs_dat_i,
26
    wbs_dat_o,
27
    wbs_sel_i);
28
 input user_clock2;
29
 input wb_clk_i;
30
 input wb_rst_i;
31
 output wbs_ack_o;
32
 input wbs_cyc_i;
33
 input wbs_stb_i;
34
 input wbs_we_i;
35
 input vccd1;
36
 input vssd1;
37
 input vccd2;
38
 input vssd2;
39
 input vdda1;
40
 input vssa1;
41
 input vdda2;
42
 input vssa2;
43
 inout [28:0] analog_io;
44
 input [37:0] io_in;
45
 output [37:0] io_oeb;
46
 output [37:0] io_out;
47
 input [127:0] la_data_in;
48
 output [127:0] la_data_out;
49
 input [127:0] la_oenb;
50
 output [2:0] user_irq;
51
 input [31:0] wbs_adr_i;
52
 input [31:0] wbs_dat_i;
53
 output [31:0] wbs_dat_o;
54
 input [3:0] wbs_sel_i;
55
 
56
 user_proj_example mprj (.wb_clk_i(wb_clk_i),
57
    .wb_rst_i(wb_rst_i),
58
    .wbs_ack_o(wbs_ack_o),
59
    .wbs_cyc_i(wbs_cyc_i),
60
    .wbs_stb_i(wbs_stb_i),
61
    .wbs_we_i(wbs_we_i),
62
    .vccd1(vccd1),
63
    .vssd1(vssd1),
64
    .vccd2(vccd2),
65
    .vssd2(vssd2),
66
    .vdda1(vdda1),
67
    .vssa1(vssa1),
68
    .vdda2(vdda2),
69
    .vssa2(vssa2),
70
    .io_in({io_in[37],
71
    io_in[36],
72
    io_in[35],
73
    io_in[34],
74
    io_in[33],
75
    io_in[32],
76
    io_in[31],
77
    io_in[30],
78
    io_in[29],
79
    io_in[28],
80
    io_in[27],
81
    io_in[26],
82
    io_in[25],
83
    io_in[24],
84
    io_in[23],
85
    io_in[22],
86
    io_in[21],
87
    io_in[20],
88
    io_in[19],
89
    io_in[18],
90
    io_in[17],
91
    io_in[16],
92
    io_in[15],
93
    io_in[14],
94
    io_in[13],
95
    io_in[12],
96
    io_in[11],
97
    io_in[10],
98
    io_in[9],
99
    io_in[8],
100
    io_in[7],
101
    io_in[6],
102
    io_in[5],
103
    io_in[4],
104
    io_in[3],
105
    io_in[2],
106
    io_in[1],
107
    io_in[0]}),
108
    .io_oeb({io_oeb[37],
109
    io_oeb[36],
110
    io_oeb[35],
111
    io_oeb[34],
112
    io_oeb[33],
113
    io_oeb[32],
114
    io_oeb[31],
115
    io_oeb[30],
116
    io_oeb[29],
117
    io_oeb[28],
118
    io_oeb[27],
119
    io_oeb[26],
120
    io_oeb[25],
121
    io_oeb[24],
122
    io_oeb[23],
123
    io_oeb[22],
124
    io_oeb[21],
125
    io_oeb[20],
126
    io_oeb[19],
127
    io_oeb[18],
128
    io_oeb[17],
129
    io_oeb[16],
130
    io_oeb[15],
131
    io_oeb[14],
132
    io_oeb[13],
133
    io_oeb[12],
134
    io_oeb[11],
135
    io_oeb[10],
136
    io_oeb[9],
137
    io_oeb[8],
138
    io_oeb[7],
139
    io_oeb[6],
140
    io_oeb[5],
141
    io_oeb[4],
142
    io_oeb[3],
143
    io_oeb[2],
144
    io_oeb[1],
145
    io_oeb[0]}),
146
    .io_out({io_out[37],
147
    io_out[36],
148
    io_out[35],
149
    io_out[34],
150
    io_out[33],
151
    io_out[32],
152
    io_out[31],
153
    io_out[30],
154
    io_out[29],
155
    io_out[28],
156
    io_out[27],
157
    io_out[26],
158
    io_out[25],
159
    io_out[24],
160
    io_out[23],
161
    io_out[22],
162
    io_out[21],
163
    io_out[20],
164
    io_out[19],
165
    io_out[18],
166
    io_out[17],
167
    io_out[16],
168
    io_out[15],
169
    io_out[14],
170
    io_out[13],
171
    io_out[12],
172
    io_out[11],
173
    io_out[10],
174
    io_out[9],
175
    io_out[8],
176
    io_out[7],
177
    io_out[6],
178
    io_out[5],
179
    io_out[4],
180
    io_out[3],
181
    io_out[2],
182
    io_out[1],
183
    io_out[0]}),
184
    .irq({user_irq[2],
185
    user_irq[1],
186
    user_irq[0]}),
187
    .la_data_in({la_data_in[127],
188
    la_data_in[126],
189
    la_data_in[125],
190
    la_data_in[124],
191
    la_data_in[123],
192
    la_data_in[122],
193
    la_data_in[121],
194
    la_data_in[120],
195
    la_data_in[119],
196
    la_data_in[118],
197
    la_data_in[117],
198
    la_data_in[116],
199
    la_data_in[115],
200
    la_data_in[114],
201
    la_data_in[113],
202
    la_data_in[112],
203
    la_data_in[111],
204
    la_data_in[110],
205
    la_data_in[109],
206
    la_data_in[108],
207
    la_data_in[107],
208
    la_data_in[106],
209
    la_data_in[105],
210
    la_data_in[104],
211
    la_data_in[103],
212
    la_data_in[102],
213
    la_data_in[101],
214
    la_data_in[100],
215
    la_data_in[99],
216
    la_data_in[98],
217
    la_data_in[97],
218
    la_data_in[96],
219
    la_data_in[95],
220
    la_data_in[94],
221
    la_data_in[93],
222
    la_data_in[92],
223
    la_data_in[91],
224
    la_data_in[90],
225
    la_data_in[89],
226
    la_data_in[88],
227
    la_data_in[87],
228
    la_data_in[86],
229
    la_data_in[85],
230
    la_data_in[84],
231
    la_data_in[83],
232
    la_data_in[82],
233
    la_data_in[81],
234
    la_data_in[80],
235
    la_data_in[79],
236
    la_data_in[78],
237
    la_data_in[77],
238
    la_data_in[76],
239
    la_data_in[75],
240
    la_data_in[74],
241
    la_data_in[73],
242
    la_data_in[72],
243
    la_data_in[71],
244
    la_data_in[70],
245
    la_data_in[69],
246
    la_data_in[68],
247
    la_data_in[67],
248
    la_data_in[66],
249
    la_data_in[65],
250
    la_data_in[64],
251
    la_data_in[63],
252
    la_data_in[62],
253
    la_data_in[61],
254
    la_data_in[60],
255
    la_data_in[59],
256
    la_data_in[58],
257
    la_data_in[57],
258
    la_data_in[56],
259
    la_data_in[55],
260
    la_data_in[54],
261
    la_data_in[53],
262
    la_data_in[52],
263
    la_data_in[51],
264
    la_data_in[50],
265
    la_data_in[49],
266
    la_data_in[48],
267
    la_data_in[47],
268
    la_data_in[46],
269
    la_data_in[45],
270
    la_data_in[44],
271
    la_data_in[43],
272
    la_data_in[42],
273
    la_data_in[41],
274
    la_data_in[40],
275
    la_data_in[39],
276
    la_data_in[38],
277
    la_data_in[37],
278
    la_data_in[36],
279
    la_data_in[35],
280
    la_data_in[34],
281
    la_data_in[33],
282
    la_data_in[32],
283
    la_data_in[31],
284
    la_data_in[30],
285
    la_data_in[29],
286
    la_data_in[28],
287
    la_data_in[27],
288
    la_data_in[26],
289
    la_data_in[25],
290
    la_data_in[24],
291
    la_data_in[23],
292
    la_data_in[22],
293
    la_data_in[21],
294
    la_data_in[20],
295
    la_data_in[19],
296
    la_data_in[18],
297
    la_data_in[17],
298
    la_data_in[16],
299
    la_data_in[15],
300
    la_data_in[14],
301
    la_data_in[13],
302
    la_data_in[12],
303
    la_data_in[11],
304
    la_data_in[10],
305
    la_data_in[9],
306
    la_data_in[8],
307
    la_data_in[7],
308
    la_data_in[6],
309
    la_data_in[5],
310
    la_data_in[4],
311
    la_data_in[3],
312
    la_data_in[2],
313
    la_data_in[1],
314
    la_data_in[0]}),
315
    .la_data_out({la_data_out[127],
316
    la_data_out[126],
317
    la_data_out[125],
318
    la_data_out[124],
319
    la_data_out[123],
320
    la_data_out[122],
321
    la_data_out[121],
322
    la_data_out[120],
323
    la_data_out[119],
324
    la_data_out[118],
325
    la_data_out[117],
326
    la_data_out[116],
327
    la_data_out[115],
328
    la_data_out[114],
329
    la_data_out[113],
330
    la_data_out[112],
331
    la_data_out[111],
332
    la_data_out[110],
333
    la_data_out[109],
334
    la_data_out[108],
335
    la_data_out[107],
336
    la_data_out[106],
337
    la_data_out[105],
338
    la_data_out[104],
339
    la_data_out[103],
340
    la_data_out[102],
341
    la_data_out[101],
342
    la_data_out[100],
343
    la_data_out[99],
344
    la_data_out[98],
345
    la_data_out[97],
346
    la_data_out[96],
347
    la_data_out[95],
348
    la_data_out[94],
349
    la_data_out[93],
350
    la_data_out[92],
351
    la_data_out[91],
352
    la_data_out[90],
353
    la_data_out[89],
354
    la_data_out[88],
355
    la_data_out[87],
356
    la_data_out[86],
357
    la_data_out[85],
358
    la_data_out[84],
359
    la_data_out[83],
360
    la_data_out[82],
361
    la_data_out[81],
362
    la_data_out[80],
363
    la_data_out[79],
364
    la_data_out[78],
365
    la_data_out[77],
366
    la_data_out[76],
367
    la_data_out[75],
368
    la_data_out[74],
369
    la_data_out[73],
370
    la_data_out[72],
371
    la_data_out[71],
372
    la_data_out[70],
373
    la_data_out[69],
374
    la_data_out[68],
375
    la_data_out[67],
376
    la_data_out[66],
377
    la_data_out[65],
378
    la_data_out[64],
379
    la_data_out[63],
380
    la_data_out[62],
381
    la_data_out[61],
382
    la_data_out[60],
383
    la_data_out[59],
384
    la_data_out[58],
385
    la_data_out[57],
386
    la_data_out[56],
387
    la_data_out[55],
388
    la_data_out[54],
389
    la_data_out[53],
390
    la_data_out[52],
391
    la_data_out[51],
392
    la_data_out[50],
393
    la_data_out[49],
394
    la_data_out[48],
395
    la_data_out[47],
396
    la_data_out[46],
397
    la_data_out[45],
398
    la_data_out[44],
399
    la_data_out[43],
400
    la_data_out[42],
401
    la_data_out[41],
402
    la_data_out[40],
403
    la_data_out[39],
404
    la_data_out[38],
405
    la_data_out[37],
406
    la_data_out[36],
407
    la_data_out[35],
408
    la_data_out[34],
409
    la_data_out[33],
410
    la_data_out[32],
411
    la_data_out[31],
412
    la_data_out[30],
413
    la_data_out[29],
414
    la_data_out[28],
415
    la_data_out[27],
416
    la_data_out[26],
417
    la_data_out[25],
418
    la_data_out[24],
419
    la_data_out[23],
420
    la_data_out[22],
421
    la_data_out[21],
422
    la_data_out[20],
423
    la_data_out[19],
424
    la_data_out[18],
425
    la_data_out[17],
426
    la_data_out[16],
427
    la_data_out[15],
428
    la_data_out[14],
429
    la_data_out[13],
430
    la_data_out[12],
431
    la_data_out[11],
432
    la_data_out[10],
433
    la_data_out[9],
434
    la_data_out[8],
435
    la_data_out[7],
436
    la_data_out[6],
437
    la_data_out[5],
438
    la_data_out[4],
439
    la_data_out[3],
440
    la_data_out[2],
441
    la_data_out[1],
442
    la_data_out[0]}),
443
    .la_oenb({la_oenb[127],
444
    la_oenb[126],
445
    la_oenb[125],
446
    la_oenb[124],
447
    la_oenb[123],
448
    la_oenb[122],
449
    la_oenb[121],
450
    la_oenb[120],
451
    la_oenb[119],
452
    la_oenb[118],
453
    la_oenb[117],
454
    la_oenb[116],
455
    la_oenb[115],
456
    la_oenb[114],
457
    la_oenb[113],
458
    la_oenb[112],
459
    la_oenb[111],
460
    la_oenb[110],
461
    la_oenb[109],
462
    la_oenb[108],
463
    la_oenb[107],
464
    la_oenb[106],
465
    la_oenb[105],
466
    la_oenb[104],
467
    la_oenb[103],
468
    la_oenb[102],
469
    la_oenb[101],
470
    la_oenb[100],
471
    la_oenb[99],
472
    la_oenb[98],
473
    la_oenb[97],
474
    la_oenb[96],
475
    la_oenb[95],
476
    la_oenb[94],
477
    la_oenb[93],
478
    la_oenb[92],
479
    la_oenb[91],
480
    la_oenb[90],
481
    la_oenb[89],
482
    la_oenb[88],
483
    la_oenb[87],
484
    la_oenb[86],
485
    la_oenb[85],
486
    la_oenb[84],
487
    la_oenb[83],
488
    la_oenb[82],
489
    la_oenb[81],
490
    la_oenb[80],
491
    la_oenb[79],
492
    la_oenb[78],
493
    la_oenb[77],
494
    la_oenb[76],
495
    la_oenb[75],
496
    la_oenb[74],
497
    la_oenb[73],
498
    la_oenb[72],
499
    la_oenb[71],
500
    la_oenb[70],
501
    la_oenb[69],
502
    la_oenb[68],
503
    la_oenb[67],
504
    la_oenb[66],
505
    la_oenb[65],
506
    la_oenb[64],
507
    la_oenb[63],
508
    la_oenb[62],
509
    la_oenb[61],
510
    la_oenb[60],
511
    la_oenb[59],
512
    la_oenb[58],
513
    la_oenb[57],
514
    la_oenb[56],
515
    la_oenb[55],
516
    la_oenb[54],
517
    la_oenb[53],
518
    la_oenb[52],
519
    la_oenb[51],
520
    la_oenb[50],
521
    la_oenb[49],
522
    la_oenb[48],
523
    la_oenb[47],
524
    la_oenb[46],
525
    la_oenb[45],
526
    la_oenb[44],
527
    la_oenb[43],
528
    la_oenb[42],
529
    la_oenb[41],
530
    la_oenb[40],
531
    la_oenb[39],
532
    la_oenb[38],
533
    la_oenb[37],
534
    la_oenb[36],
535
    la_oenb[35],
536
    la_oenb[34],
537
    la_oenb[33],
538
    la_oenb[32],
539
    la_oenb[31],
540
    la_oenb[30],
541
    la_oenb[29],
542
    la_oenb[28],
543
    la_oenb[27],
544
    la_oenb[26],
545
    la_oenb[25],
546
    la_oenb[24],
547
    la_oenb[23],
548
    la_oenb[22],
549
    la_oenb[21],
550
    la_oenb[20],
551
    la_oenb[19],
552
    la_oenb[18],
553
    la_oenb[17],
554
    la_oenb[16],
555
    la_oenb[15],
556
    la_oenb[14],
557
    la_oenb[13],
558
    la_oenb[12],
559
    la_oenb[11],
560
    la_oenb[10],
561
    la_oenb[9],
562
    la_oenb[8],
563
    la_oenb[7],
564
    la_oenb[6],
565
    la_oenb[5],
566
    la_oenb[4],
567
    la_oenb[3],
568
    la_oenb[2],
569
    la_oenb[1],
570
    la_oenb[0]}),
571
    .wbs_adr_i({wbs_adr_i[31],
572
    wbs_adr_i[30],
573
    wbs_adr_i[29],
574
    wbs_adr_i[28],
575
    wbs_adr_i[27],
576
    wbs_adr_i[26],
577
    wbs_adr_i[25],
578
    wbs_adr_i[24],
579
    wbs_adr_i[23],
580
    wbs_adr_i[22],
581
    wbs_adr_i[21],
582
    wbs_adr_i[20],
583
    wbs_adr_i[19],
584
    wbs_adr_i[18],
585
    wbs_adr_i[17],
586
    wbs_adr_i[16],
587
    wbs_adr_i[15],
588
    wbs_adr_i[14],
589
    wbs_adr_i[13],
590
    wbs_adr_i[12],
591
    wbs_adr_i[11],
592
    wbs_adr_i[10],
593
    wbs_adr_i[9],
594
    wbs_adr_i[8],
595
    wbs_adr_i[7],
596
    wbs_adr_i[6],
597
    wbs_adr_i[5],
598
    wbs_adr_i[4],
599
    wbs_adr_i[3],
600
    wbs_adr_i[2],
601
    wbs_adr_i[1],
602
    wbs_adr_i[0]}),
603
    .wbs_dat_i({wbs_dat_i[31],
604
    wbs_dat_i[30],
605
    wbs_dat_i[29],
606
    wbs_dat_i[28],
607
    wbs_dat_i[27],
608
    wbs_dat_i[26],
609
    wbs_dat_i[25],
610
    wbs_dat_i[24],
611
    wbs_dat_i[23],
612
    wbs_dat_i[22],
613
    wbs_dat_i[21],
614
    wbs_dat_i[20],
615
    wbs_dat_i[19],
616
    wbs_dat_i[18],
617
    wbs_dat_i[17],
618
    wbs_dat_i[16],
619
    wbs_dat_i[15],
620
    wbs_dat_i[14],
621
    wbs_dat_i[13],
622
    wbs_dat_i[12],
623
    wbs_dat_i[11],
624
    wbs_dat_i[10],
625
    wbs_dat_i[9],
626
    wbs_dat_i[8],
627
    wbs_dat_i[7],
628
    wbs_dat_i[6],
629
    wbs_dat_i[5],
630
    wbs_dat_i[4],
631
    wbs_dat_i[3],
632
    wbs_dat_i[2],
633
    wbs_dat_i[1],
634
    wbs_dat_i[0]}),
635
    .wbs_dat_o({wbs_dat_o[31],
636
    wbs_dat_o[30],
637
    wbs_dat_o[29],
638
    wbs_dat_o[28],
639
    wbs_dat_o[27],
640
    wbs_dat_o[26],
641
    wbs_dat_o[25],
642
    wbs_dat_o[24],
643
    wbs_dat_o[23],
644
    wbs_dat_o[22],
645
    wbs_dat_o[21],
646
    wbs_dat_o[20],
647
    wbs_dat_o[19],
648
    wbs_dat_o[18],
649
    wbs_dat_o[17],
650
    wbs_dat_o[16],
651
    wbs_dat_o[15],
652
    wbs_dat_o[14],
653
    wbs_dat_o[13],
654
    wbs_dat_o[12],
655
    wbs_dat_o[11],
656
    wbs_dat_o[10],
657
    wbs_dat_o[9],
658
    wbs_dat_o[8],
659
    wbs_dat_o[7],
660
    wbs_dat_o[6],
661
    wbs_dat_o[5],
662
    wbs_dat_o[4],
663
    wbs_dat_o[3],
664
    wbs_dat_o[2],
665
    wbs_dat_o[1],
666
    wbs_dat_o[0]}),
667
    .wbs_sel_i({wbs_sel_i[3],
668
    wbs_sel_i[2],
669
    wbs_sel_i[1],
670
    wbs_sel_i[0]}));
671
endmodule

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.