OpenCores
URL https://opencores.org/ocsvn/z80control/z80control/trunk

Subversion Repositories z80control

[/] [z80control/] [trunk/] [CII_Starter_USB_API_v1/] [HW/] [CII_Starter_USB_API.v] - Blame information for rev 12

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 12 tylerapohl
//Legal Notice: (C)2006 Altera Corporation. All rights reserved. Your
2
//use of Altera Corporation's design tools, logic functions and other
3
//software and tools, and its AMPP partner logic functions, and any
4
//output files any of the foregoing (including device programming or
5
//simulation files), and any associated documentation or information are
6
//expressly subject to the terms and conditions of the Altera Program
7
//License Subscription Agreement or other applicable license agreement,
8
//including, without limitation, that your use is for the sole purpose
9
//of programming logic devices manufactured by Altera and sold by Altera
10
//or its authorized distributors.  Please refer to the applicable
11
//agreement for further details.
12
 
13
 
14
module CII_Starter_USB_API
15
        (
16
                ////////////////////    Clock Input             ////////////////////     
17
                CLOCK_24,                                               //      24 MHz
18
                CLOCK_27,                                               //      27 MHz
19
                CLOCK_50,                                               //      50 MHz
20
                EXT_CLOCK,                                              //      External Clock
21
                ////////////////////    Push Button             ////////////////////
22
                KEY,                                                    //      Pushbutton[3:0]
23
                ////////////////////    DPDT Switch             ////////////////////
24
                SW,                                                             //      Toggle Switch[9:0]
25
                ////////////////////    7-SEG Dispaly   ////////////////////
26
                HEX0,                                                   //      Seven Segment Digit 0
27
                HEX1,                                                   //      Seven Segment Digit 1
28
                HEX2,                                                   //      Seven Segment Digit 2
29
                HEX3,                                                   //      Seven Segment Digit 3
30
                ////////////////////////        LED             ////////////////////////
31
                LEDG,                                                   //      LED Green[7:0]
32
                LEDR,                                                   //      LED Red[9:0]
33
                ////////////////////////        UART    ////////////////////////
34
                UART_TXD,                                               //      UART Transmitter
35
                UART_RXD,                                               //      UART Receiver
36
                /////////////////////   SDRAM Interface         ////////////////
37
                DRAM_DQ,                                                //      SDRAM Data bus 16 Bits
38
                DRAM_ADDR,                                              //      SDRAM Address bus 12 Bits
39
                DRAM_LDQM,                                              //      SDRAM Low-byte Data Mask 
40
                DRAM_UDQM,                                              //      SDRAM High-byte Data Mask
41
                DRAM_WE_N,                                              //      SDRAM Write Enable
42
                DRAM_CAS_N,                                             //      SDRAM Column Address Strobe
43
                DRAM_RAS_N,                                             //      SDRAM Row Address Strobe
44
                DRAM_CS_N,                                              //      SDRAM Chip Select
45
                DRAM_BA_0,                                              //      SDRAM Bank Address 0
46
                DRAM_BA_1,                                              //      SDRAM Bank Address 0
47
                DRAM_CLK,                                               //      SDRAM Clock
48
                DRAM_CKE,                                               //      SDRAM Clock Enable
49
                ////////////////////    Flash Interface         ////////////////
50
                FL_DQ,                                                  //      FLASH Data bus 8 Bits
51
                FL_ADDR,                                                //      FLASH Address bus 22 Bits
52
                FL_WE_N,                                                //      FLASH Write Enable
53
                FL_RST_N,                                               //      FLASH Reset
54
                FL_OE_N,                                                //      FLASH Output Enable
55
                FL_CE_N,                                                //      FLASH Chip Enable
56
                ////////////////////    SRAM Interface          ////////////////
57
                SRAM_DQ,                                                //      SRAM Data bus 16 Bits
58
                SRAM_ADDR,                                              //      SRAM Address bus 18 Bits
59
                SRAM_UB_N,                                              //      SRAM High-byte Data Mask 
60
                SRAM_LB_N,                                              //      SRAM Low-byte Data Mask 
61
                SRAM_WE_N,                                              //      SRAM Write Enable
62
                SRAM_CE_N,                                              //      SRAM Chip Enable
63
                SRAM_OE_N,                                              //      SRAM Output Enable
64
                ////////////////////    SD_Card Interface       ////////////////
65
                SD_DAT,                                                 //      SD Card Data
66
                SD_DAT3,                                                //      SD Card Data 3
67
                SD_CMD,                                                 //      SD Card Command Signal
68
                SD_CLK,                                                 //      SD Card Clock
69
                ////////////////////    USB JTAG link   ////////////////////
70
                TDI,                                                    // CPLD -> FPGA (data in)
71
                TCK,                                                    // CPLD -> FPGA (clk)
72
                TCS,                                                    // CPLD -> FPGA (CS)
73
            TDO,                                                        // FPGA -> CPLD (data out)
74
                ////////////////////    I2C             ////////////////////////////
75
                I2C_SDAT,                                               //      I2C Data
76
                I2C_SCLK,                                               //      I2C Clock
77
                ////////////////////    PS2             ////////////////////////////
78
                PS2_DAT,                                                //      PS2 Data
79
                PS2_CLK,                                                //      PS2 Clock
80
                ////////////////////    VGA             ////////////////////////////
81
                VGA_HS,                                                 //      VGA H_SYNC
82
                VGA_VS,                                                 //      VGA V_SYNC
83
                VGA_R,                                                  //      VGA Red[3:0]
84
                VGA_G,                                                  //      VGA Green[3:0]
85
                VGA_B,                                                  //      VGA Blue[3:0]
86
                ////////////////        Audio CODEC             ////////////////////////
87
                AUD_ADCLRCK,                                    //      Audio CODEC ADC LR Clock
88
                AUD_ADCDAT,                                             //      Audio CODEC ADC Data
89
                AUD_DACLRCK,                                    //      Audio CODEC DAC LR Clock
90
                AUD_DACDAT,                                             //      Audio CODEC DAC Data
91
                AUD_BCLK,                                               //      Audio CODEC Bit-Stream Clock
92
                AUD_XCK,                                                //      Audio CODEC Chip Clock
93
                ////////////////////    GPIO    ////////////////////////////
94
                GPIO_0,                                                 //      GPIO Connection 0
95
                GPIO_1                                                  //      GPIO Connection 1
96
        );
97
 
98
////////////////////////        Clock Input             ////////////////////////
99
input   [1:0]    CLOCK_24;                               //      24 MHz
100
input   [1:0]    CLOCK_27;                               //      27 MHz
101
input                   CLOCK_50;                               //      50 MHz
102
input                   EXT_CLOCK;                              //      External Clock
103
////////////////////////        Push Button             ////////////////////////
104
input   [3:0]    KEY;                                    //      Pushbutton[3:0]
105
////////////////////////        DPDT Switch             ////////////////////////
106
input   [9:0]    SW;                                             //      Toggle Switch[9:0]
107
////////////////////////        7-SEG Dispaly   ////////////////////////
108
output  [6:0]    HEX0;                                   //      Seven Segment Digit 0
109
output  [6:0]    HEX1;                                   //      Seven Segment Digit 1
110
output  [6:0]    HEX2;                                   //      Seven Segment Digit 2
111
output  [6:0]    HEX3;                                   //      Seven Segment Digit 3
112
////////////////////////////    LED             ////////////////////////////
113
output  [7:0]    LEDG;                                   //      LED Green[7:0]
114
output  [9:0]    LEDR;                                   //      LED Red[9:0]
115
////////////////////////////    UART    ////////////////////////////
116
output                  UART_TXD;                               //      UART Transmitter
117
input                   UART_RXD;                               //      UART Receiver
118
///////////////////////         SDRAM Interface ////////////////////////
119
inout   [15:0]   DRAM_DQ;                                //      SDRAM Data bus 16 Bits
120
output  [11:0]   DRAM_ADDR;                              //      SDRAM Address bus 12 Bits
121
output                  DRAM_LDQM;                              //      SDRAM Low-byte Data Mask 
122
output                  DRAM_UDQM;                              //      SDRAM High-byte Data Mask
123
output                  DRAM_WE_N;                              //      SDRAM Write Enable
124
output                  DRAM_CAS_N;                             //      SDRAM Column Address Strobe
125
output                  DRAM_RAS_N;                             //      SDRAM Row Address Strobe
126
output                  DRAM_CS_N;                              //      SDRAM Chip Select
127
output                  DRAM_BA_0;                              //      SDRAM Bank Address 0
128
output                  DRAM_BA_1;                              //      SDRAM Bank Address 0
129
output                  DRAM_CLK;                               //      SDRAM Clock
130
output                  DRAM_CKE;                               //      SDRAM Clock Enable
131
////////////////////////        Flash Interface ////////////////////////
132
inout   [7:0]    FL_DQ;                                  //      FLASH Data bus 8 Bits
133
output  [21:0]   FL_ADDR;                                //      FLASH Address bus 22 Bits
134
output                  FL_WE_N;                                //      FLASH Write Enable
135
output                  FL_RST_N;                               //      FLASH Reset
136
output                  FL_OE_N;                                //      FLASH Output Enable
137
output                  FL_CE_N;                                //      FLASH Chip Enable
138
////////////////////////        SRAM Interface  ////////////////////////
139
inout   [15:0]   SRAM_DQ;                                //      SRAM Data bus 16 Bits
140
output  [17:0]   SRAM_ADDR;                              //      SRAM Address bus 18 Bits
141
output                  SRAM_UB_N;                              //      SRAM High-byte Data Mask 
142
output                  SRAM_LB_N;                              //      SRAM Low-byte Data Mask 
143
output                  SRAM_WE_N;                              //      SRAM Write Enable
144
output                  SRAM_CE_N;                              //      SRAM Chip Enable
145
output                  SRAM_OE_N;                              //      SRAM Output Enable
146
////////////////////    SD Card Interface       ////////////////////////
147
inout                   SD_DAT;                                 //      SD Card Data
148
inout                   SD_DAT3;                                //      SD Card Data 3
149
inout                   SD_CMD;                                 //      SD Card Command Signal
150
output                  SD_CLK;                                 //      SD Card Clock
151
////////////////////////        I2C             ////////////////////////////////
152
inout                   I2C_SDAT;                               //      I2C Data
153
output                  I2C_SCLK;                               //      I2C Clock
154
////////////////////////        PS2             ////////////////////////////////
155
input                   PS2_DAT;                                //      PS2 Data
156
input                   PS2_CLK;                                //      PS2 Clock
157
////////////////////    USB JTAG link   ////////////////////////////
158
input                   TDI;                                    // CPLD -> FPGA (data in)
159
input                   TCK;                                    // CPLD -> FPGA (clk)
160
input                   TCS;                                    // CPLD -> FPGA (CS)
161
output                  TDO;                                    // FPGA -> CPLD (data out)
162
////////////////////////        VGA                     ////////////////////////////
163
output                  VGA_HS;                                 //      VGA H_SYNC
164
output                  VGA_VS;                                 //      VGA V_SYNC
165
output  [3:0]    VGA_R;                                  //      VGA Red[3:0]
166
output  [3:0]    VGA_G;                                  //      VGA Green[3:0]
167
output  [3:0]    VGA_B;                                  //      VGA Blue[3:0]
168
////////////////////    Audio CODEC             ////////////////////////////
169
output                  AUD_ADCLRCK;                    //      Audio CODEC ADC LR Clock
170
input                   AUD_ADCDAT;                             //      Audio CODEC ADC Data
171
output                  AUD_DACLRCK;                    //      Audio CODEC DAC LR Clock
172
output                  AUD_DACDAT;                             //      Audio CODEC DAC Data
173
inout                   AUD_BCLK;                               //      Audio CODEC Bit-Stream Clock
174
output                  AUD_XCK;                                //      Audio CODEC Chip Clock
175
////////////////////////        GPIO    ////////////////////////////////
176
inout   [35:0]   GPIO_0;                                 //      GPIO Connection 0
177
inout   [35:0]   GPIO_1;                                 //      GPIO Connection 1
178
////////////////////////////////////////////////////////////////////
179
 
180
//      USB JTAG
181
wire [7:0] mRXD_DATA,mTXD_DATA;
182
wire mRXD_Ready,mTXD_Done,mTXD_Start;
183
wire mTCK;
184
//      FLASH
185
wire [21:0] mFL_ADDR;
186
wire [7:0] mFL2RS_DATA,mRS2FL_DATA;
187
wire [2:0] mFL_CMD;
188
wire mFL_Ready,mFL_Start;
189
//      SDRAM
190
wire [21:0] mSD_ADDR;
191
wire [15:0] mSD2RS_DATA,mRS2SD_DATA;
192
wire mSD_WR,mSD_RD,mSD_Done;
193
//      SRAM
194
wire [17:0]      mSR_ADDR;
195
wire [15:0]      mSR2RS_DATA,mRS2SR_DATA;
196
wire            mSR_OE,mSR_WE;
197
//      SEG7
198
wire [31:0] mSEG7_DIG;
199
//      LCD
200
wire [7:0]       mLCD_DATA;
201
wire            mLCD_RS;
202
wire            mLCD_Start;
203
wire            mLCD_Done;
204
//      PS2
205
wire [7:0] PS2_ASCII;
206
wire PS2_Error,PS2_Ready;
207
//      VGA
208
wire [9:0] mVGA_R;
209
wire [9:0] mVGA_G;
210
wire [9:0] mVGA_B;
211
wire [9:0] mOSD_R;
212
wire [9:0] mOSD_G;
213
wire [9:0] mOSD_B;
214
wire [9:0] mVIN_R;
215
wire [9:0] mVIN_G;
216
wire [9:0] mVIN_B;
217
wire [9:0] oVGA_R;
218
wire [9:0] oVGA_G;
219
wire [9:0] oVGA_B;
220
wire [9:0] mVGA_X;
221
wire [9:0] mVGA_Y;
222
wire [19:0]      mVGA_ADDR;
223
wire [9:0]       mCursor_X;
224
wire [9:0]       mCursor_Y;
225
wire [9:0]       mCursor_R;
226
wire [9:0]       mCursor_G;
227
wire [9:0]       mCursor_B;
228
wire [1:0]       mOSD_CUR_EN;
229
//      Async Port Select
230
wire [2:0] mSDR_Select;
231
wire [2:0] mFL_Select;
232
wire [2:0] mSR_Select;
233
//      FLASH Async Port
234
wire [21:0] mFL_AS_ADDR_1;
235
wire [21:0] mFL_AS_ADDR_2;
236
wire [21:0] mFL_AS_ADDR_3;
237
wire [7:0]       mFL_AS_DATA_1;
238
wire [7:0]       mFL_AS_DATA_2;
239
wire [7:0]       mFL_AS_DATA_3;
240
//      SDRAM Async Port
241
wire [15:0] mSDR_AS_DATAOUT_1;
242
wire [15:0] mSDR_AS_DATAOUT_2;
243
wire [15:0] mSDR_AS_DATAOUT_3;
244
wire [21:0] mSDR_AS_ADDR_1       = 0;
245
wire [21:0] mSDR_AS_ADDR_2       = 0;
246
wire [21:0] mSDR_AS_ADDR_3       = 0;
247
wire [15:0] mSDR_AS_DATAIN_1= 0;
248
wire [15:0] mSDR_AS_DATAIN_2= 0;
249
wire [15:0] mSDR_AS_DATAIN_3= 0;
250
wire            mSDR_AS_WR_n_1  = 0;
251
wire            mSDR_AS_WR_n_2  = 0;
252
wire            mSDR_AS_WR_n_3  = 0;
253
//      SRAM Async Port
254
wire [15:0]      mSRAM_VGA_DATA;
255
 
256
wire            VGA_CTRL_CLK;
257
wire            AUD_CTRL_CLK;
258
wire            DLY_RST;
259
 
260
//      All inout port turn to tri-state
261
assign  SD_DAT          =       1'bz;
262
assign  GPIO_0          =       36'hzzzzzzzzz;
263
assign  GPIO_1          =       36'hzzzzzzzzz;
264
//      VGA Data Reorder
265
assign  mVIN_R          =       mVGA_ADDR[0]     ?       mSRAM_VGA_DATA[15:8]<<2 :       mSRAM_VGA_DATA[7:0]<<2   ;
266
assign  mVIN_G          =       mVGA_ADDR[0]     ?       mSRAM_VGA_DATA[15:8]<<2 :       mSRAM_VGA_DATA[7:0]<<2   ;
267
assign  mVIN_B          =       mVGA_ADDR[0]     ?       mSRAM_VGA_DATA[15:8]<<2 :       mSRAM_VGA_DATA[7:0]<<2   ;
268
//      VGA Data Source Select
269
assign  mVGA_R          =       ~mOSD_CUR_EN[1] ?       mOSD_R  :       mVIN_R;
270
assign  mVGA_G          =       ~mOSD_CUR_EN[1] ?       mOSD_G  :       mVIN_G;
271
assign  mVGA_B          =       ~mOSD_CUR_EN[1] ?       mOSD_B  :       mVIN_B;
272
//      VGA Data 10-bit to 4-bit
273
assign  VGA_R           =       oVGA_R[9:6];
274
assign  VGA_G           =       oVGA_G[9:6];
275
assign  VGA_B           =       oVGA_B[9:6];
276
//      Audio
277
assign  AUD_ADCLRCK     =       AUD_DACLRCK;
278
assign  AUD_XCK         =       AUD_CTRL_CLK;
279
 
280
CLK_LOCK                        p0      (       .inclk(TCK),.outclk(mTCK)       );
281
 
282
Reset_Delay                     d0      (       .iCLK(CLOCK_50),.oRESET(DLY_RST)        );
283
 
284
SEG7_LUT_4                      u0      (       HEX0,HEX1,HEX2,HEX3,mSEG7_DIG );
285
 
286
USB_JTAG                        u1      (       //      HOST
287
                                                        .iTxD_DATA(mTXD_DATA),.oTxD_Done(mTXD_Done),.iTxD_Start(mTXD_Start),
288
                                                        .oRxD_DATA(mRXD_DATA),.oRxD_Ready(mRXD_Ready),.iRST_n(KEY[0]),.iCLK(CLOCK_50),
289
                                                        //      JTAG
290
                                                        .TDO(TDO),.TDI(TDI),.TCS(TCS),.TCK(mTCK)        );
291
 
292
Multi_Flash                     u2      (       //      Host Side
293
                                                        mFL2RS_DATA,mRS2FL_DATA,mFL_ADDR,mFL_CMD,mFL_Ready,mFL_Start,
294
                                                        //      Async Side 1
295
                                                        mFL_AS_DATA_1,mFL_AS_ADDR_1,
296
                                                        //      Async Side 2
297
                                                        mFL_AS_DATA_2,mFL_AS_ADDR_2,
298
                                                        //      Async Side 3
299
                                                        mFL_AS_DATA_3,mFL_AS_ADDR_3,
300
                                                        //      Control Signals
301
                                                        mFL_Select,CLOCK_50,KEY[0],
302
                                                        //      Flash Interface
303
                                                        FL_DQ,FL_ADDR,FL_WE_N,FL_CE_N,FL_OE_N,FL_RST_N);
304
 
305
Multi_Sdram                     u3      (       //      Host Side
306
                                                        mSD2RS_DATA,mRS2SD_DATA,mSD_ADDR,mSD_RD,mSD_WR,mSD_Done,
307
                                                        //      Async Side 1
308
                                                        mSDR_AS_DATAOUT_1,mSDR_AS_DATAIN_1,mSDR_AS_ADDR_1,mSDR_AS_WR_n_1,
309
                                                        //      Async Side 2
310
                                                        mSDR_AS_DATAOUT_2,mSDR_AS_DATAIN_2,mSDR_AS_ADDR_2,mSDR_AS_WR_n_2,
311
                                                        //      Async Side 3
312
                                                        mSDR_AS_DATAOUT_3,mSDR_AS_DATAIN_3,mSDR_AS_ADDR_3,mSDR_AS_WR_n_3,
313
                                                        //      Control Signals
314
                                                        mSDR_Select,CLOCK_50,KEY[0],
315
                                                        //      SDRAM Interface
316
                                                DRAM_ADDR,{DRAM_BA_1,DRAM_BA_0},DRAM_CS_N,DRAM_CKE,DRAM_RAS_N,
317
                                                        DRAM_CAS_N,DRAM_WE_N,DRAM_DQ,{DRAM_UDQM,DRAM_LDQM},DRAM_CLK);
318
 
319
ps2_keyboard            u4      (       .clk(CLOCK_50),.reset(~KEY[0]),
320
                                                        .ps2_clk_i(PS2_CLK),.ps2_data_i(PS2_DAT),
321
                                                        .rx_ascii(PS2_ASCII),.rx_data_ready(PS2_Ready),
322
                                                        .rx_read(PS2_Ready)     );
323
 
324
CMD_Decode                      u5      (       //      USB JTAG
325
                                                        .iRXD_DATA(mRXD_DATA),.iRXD_Ready(mRXD_Ready),
326
                                                        .oTXD_DATA(mTXD_DATA),.oTXD_Start(mTXD_Start),.iTXD_Done(mTXD_Done),
327
                                                        //      FLASH
328
                                                        .iFL_DATA(mFL2RS_DATA),.oFL_DATA(mRS2FL_DATA),
329
                                                        .oFL_ADDR(mFL_ADDR),.iFL_Ready(mFL_Ready),
330
                                                        .oFL_Start(mFL_Start),.oFL_CMD(mFL_CMD),
331
                                                        //      SDRAM
332
                                                        .iSDR_DATA(mSD2RS_DATA),.oSDR_DATA(mRS2SD_DATA),
333
                                                        .oSDR_ADDR(mSD_ADDR),.iSDR_Done(mSD_Done),
334
                                                        .oSDR_WR(mSD_WR),.oSDR_RD(mSD_RD),
335
                                                        //      SRAM
336
                                                        .iSR_DATA(mSR2RS_DATA),.oSR_DATA(mRS2SR_DATA),
337
                                                        .oSR_ADDR(mSR_ADDR),
338
                                                        .oSR_WE_N(mSR_WE),.oSR_OE_N(mSR_OE),
339
                                                        //      LED + SEG7
340
                                                        .oLED_GREEN(LEDG),.oLED_RED(LEDR),
341
                                                        .oSEG7_DIG(mSEG7_DIG),
342
                                                        //      VGA
343
                                                        .oCursor_X(mCursor_X),
344
                                                        .oCursor_Y(mCursor_Y),
345
                                                        .oCursor_R(mCursor_R),
346
                                                        .oCursor_G(mCursor_G),
347
                                                        .oCursor_B(mCursor_B),
348
                                                        .oOSD_CUR_EN(mOSD_CUR_EN),
349
                                                        //      PS2
350
                                                        .iPS2_ScanCode(PS2_ASCII),.iPS2_Ready(PS2_Ready),
351
                                                        //      Async Port Select
352
                                                        .oSDR_Select(mSDR_Select),
353
                                                        .oFL_Select(mFL_Select),
354
                                                        .oSR_Select(mSR_Select),
355
                                                        //      Control
356
                                                        .iCLK(CLOCK_50),.iRST_n(KEY[0])  );
357
 
358
Multi_Sram                      u6      (       //      Host Side
359
                                                        .oHS_DATA(mSR2RS_DATA),.iHS_DATA(mRS2SR_DATA),.iHS_ADDR(mSR_ADDR),
360
                                                        .iHS_WE_N(mSR_WE),.iHS_OE_N(mSR_OE),
361
                                                        //      Async Side 1
362
                                                        .oAS1_DATA(mSRAM_VGA_DATA),.iAS1_ADDR(mVGA_ADDR[19:1]),
363
                                                        .iAS1_WE_N(1'b1),.iAS1_OE_N(1'b0),
364
                                                        //      Control Signals
365
                                                        .iSelect(mSR_Select),.iRST_n(KEY[0]),
366
                                                        //      SRAM
367
                                                        .SRAM_DQ(SRAM_DQ),
368
                                                        .SRAM_ADDR(SRAM_ADDR),
369
                                                        .SRAM_UB_N(SRAM_UB_N),
370
                                                        .SRAM_LB_N(SRAM_LB_N),
371
                                                        .SRAM_WE_N(SRAM_WE_N),
372
                                                        .SRAM_CE_N(SRAM_CE_N),
373
                                                        .SRAM_OE_N(SRAM_OE_N)   );
374
 
375
VGA_Audio_PLL           p1      (       .areset(~DLY_RST),.inclk0(CLOCK_27[0]),.c0(VGA_CTRL_CLK),.c1(AUD_CTRL_CLK)       );
376
 
377
VGA_Controller          u8      (       //      Host Side
378
                                                        .iCursor_RGB_EN({mOSD_CUR_EN[0],3'h7}),
379
                                                        .iCursor_X(mCursor_X),
380
                                                        .iCursor_Y(mCursor_Y),
381
                                                        .iCursor_R(mCursor_R),
382
                                                        .iCursor_G(mCursor_G),
383
                                                        .iCursor_B(mCursor_B),
384
                                                        .oAddress(mVGA_ADDR),
385
                                                        .oCoord_X(mVGA_X),
386
                                                        .oCoord_Y(mVGA_Y),
387
                                                        .iRed(mVGA_R),
388
                                                        .iGreen(mVGA_G),
389
                                                        .iBlue(mVGA_B),
390
                                                        //      VGA Side
391
                                                        .oVGA_R(oVGA_R),
392
                                                        .oVGA_G(oVGA_G),
393
                                                        .oVGA_B(oVGA_B),
394
                                                        .oVGA_H_SYNC(VGA_HS),
395
                                                        .oVGA_V_SYNC(VGA_VS),
396
                                                        //      Control Signal
397
                                                        .iCLK(VGA_CTRL_CLK),
398
                                                        .iRST_N(DLY_RST)        );
399
 
400
VGA_OSD_RAM                     u9      (       //      Read Out Side
401
                                                        .oRed(mOSD_R),
402
                                                        .oGreen(mOSD_G),
403
                                                        .oBlue(mOSD_B),
404
                                                        .iVGA_ADDR(mVGA_ADDR),
405
                                                        .iVGA_X(mVGA_X),
406
                                                        .iVGA_Y(mVGA_Y),
407
                                                        .iVGA_CLK(VGA_CTRL_CLK),
408
                                                        //      CLUT
409
                                                        .iON_R(1023),
410
                                                        .iON_G(1023),
411
                                                        .iON_B(1023),
412
                                                        .iOFF_R(0),
413
                                                        .iOFF_G(0),
414
                                                        .iOFF_B(512),
415
                                                        //      Control Signals
416
                                                        .iRST_N(KEY[0])  );
417
 
418
I2C_AV_Config           u10     (       //      Host Side
419
                                                        .iCLK(CLOCK_50),
420
                                                        .iRST_N(KEY[0]),
421
                                                        //      I2C Side
422
                                                        .I2C_SCLK(I2C_SCLK),
423
                                                        .I2C_SDAT(I2C_SDAT)     );
424
 
425
AUDIO_DAC                       u11     (       //      Memory Side
426
                                                        .oFLASH_ADDR(mFL_AS_ADDR_1),
427
                                                        .iFLASH_DATA(mFL_AS_DATA_1),
428
                                                        //      Audio Side
429
                                                        .oAUD_BCK(AUD_BCLK),
430
                                                        .oAUD_DATA(AUD_DACDAT),
431
                                                        .oAUD_LRCK(AUD_DACLRCK),
432
                                                        //      Control Signals
433
                                                        .iSrc_Select(SW[1:0]),
434
                                            .iCLK_18_4(AUD_CTRL_CLK),
435
                                                        .iRST_N(DLY_RST)        );
436
 
437
endmodule

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.