OpenCores
URL https://opencores.org/ocsvn/z80control/z80control/trunk

Subversion Repositories z80control

[/] [z80control/] [trunk/] [CII_Starter_USB_API_v1/] [HW/] [SEG7_LUT_4.v] - Blame information for rev 12

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 12 tylerapohl
//Legal Notice: (C)2006 Altera Corporation. All rights reserved. Your
2
//use of Altera Corporation's design tools, logic functions and other
3
//software and tools, and its AMPP partner logic functions, and any
4
//output files any of the foregoing (including device programming or
5
//simulation files), and any associated documentation or information are
6
//expressly subject to the terms and conditions of the Altera Program
7
//License Subscription Agreement or other applicable license agreement,
8
//including, without limitation, that your use is for the sole purpose
9
//of programming logic devices manufactured by Altera and sold by Altera
10
//or its authorized distributors.  Please refer to the applicable
11
//agreement for further details.
12
 
13
module SEG7_LUT_4 (     oSEG0,oSEG1,oSEG2,oSEG3,iDIG );
14
input   [15:0]   iDIG;
15
output  [6:0]    oSEG0,oSEG1,oSEG2,oSEG3;
16
 
17
SEG7_LUT        u0      (       oSEG0,iDIG[3:0]          );
18
SEG7_LUT        u1      (       oSEG1,iDIG[7:4]         );
19
SEG7_LUT        u2      (       oSEG2,iDIG[11:8]        );
20
SEG7_LUT        u3      (       oSEG3,iDIG[15:12]       );
21
 
22
endmodule

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.