1 |
12 |
tylerapohl |
--===========================================================================--
|
2 |
|
|
--
|
3 |
|
|
-- S Y N T H E Z I A B L E miniUART C O R E
|
4 |
|
|
--
|
5 |
|
|
-- www.OpenCores.Org - January 2000
|
6 |
|
|
-- This core adheres to the GNU public license
|
7 |
|
|
--
|
8 |
|
|
-- Design units : miniUART core for the OCRP-1
|
9 |
|
|
--
|
10 |
|
|
-- File name : RxUnit.vhd
|
11 |
|
|
--
|
12 |
|
|
-- Purpose : Implements an miniUART device for communication purposes
|
13 |
|
|
-- between the OR1K processor and the Host computer through
|
14 |
|
|
-- an RS-232 communication protocol.
|
15 |
|
|
--
|
16 |
|
|
-- Library : uart_lib.vhd
|
17 |
|
|
--
|
18 |
|
|
-- Dependencies : IEEE.Std_Logic_1164
|
19 |
|
|
--
|
20 |
|
|
--===========================================================================--
|
21 |
|
|
-------------------------------------------------------------------------------
|
22 |
|
|
-- Revision list
|
23 |
|
|
-- Version Author Date Changes
|
24 |
|
|
--
|
25 |
|
|
-- 0.1 Ovidiu Lupas 15 January 2000 New model
|
26 |
|
|
-- 2.0 Ovidiu Lupas 17 April 2000 samples counter cleared for bit 0
|
27 |
|
|
-- olupas@opencores.org
|
28 |
|
|
-------------------------------------------------------------------------------
|
29 |
|
|
-- Description : Implements the receive unit of the miniUART core. Samples
|
30 |
|
|
-- 16 times the RxD line and retain the value in the middle of
|
31 |
|
|
-- the time interval.
|
32 |
|
|
-------------------------------------------------------------------------------
|
33 |
|
|
-- Entity for Receive Unit - 9600 baudrate --
|
34 |
|
|
-------------------------------------------------------------------------------
|
35 |
|
|
library ieee;
|
36 |
|
|
use ieee.std_logic_1164.all;
|
37 |
|
|
use ieee.numeric_std.all;
|
38 |
|
|
library work;
|
39 |
|
|
use work.UART_Def.all;
|
40 |
|
|
-------------------------------------------------------------------------------
|
41 |
|
|
-- Receive unit
|
42 |
|
|
-------------------------------------------------------------------------------
|
43 |
|
|
entity RxUnit is
|
44 |
|
|
port (
|
45 |
|
|
Clk : in Std_Logic; -- system clock signal
|
46 |
|
|
Reset : in Std_Logic; -- Reset input
|
47 |
|
|
Enable : in Std_Logic; -- Enable input
|
48 |
|
|
RxD : in Std_Logic; -- RS-232 data input
|
49 |
|
|
RD : in Std_Logic; -- Read data signal
|
50 |
|
|
FErr : out Std_Logic; -- Status signal
|
51 |
|
|
OErr : out Std_Logic; -- Status signal
|
52 |
|
|
DRdy : out Std_Logic; -- Status signal
|
53 |
|
|
DataIn : out Std_Logic_Vector(7 downto 0));
|
54 |
|
|
end entity; --================== End of entity ==============================--
|
55 |
|
|
-------------------------------------------------------------------------------
|
56 |
|
|
-- Architecture for receive Unit
|
57 |
|
|
-------------------------------------------------------------------------------
|
58 |
|
|
architecture Behaviour of RxUnit is
|
59 |
|
|
-----------------------------------------------------------------------------
|
60 |
|
|
-- Signals
|
61 |
|
|
-----------------------------------------------------------------------------
|
62 |
|
|
signal Start : Std_Logic; -- Syncro signal
|
63 |
|
|
signal tmpRxD : Std_Logic; -- RxD buffer
|
64 |
|
|
signal tmpDRdy : Std_Logic; -- Data ready buffer
|
65 |
|
|
signal outErr : Std_Logic; --
|
66 |
|
|
signal frameErr : Std_Logic; --
|
67 |
|
|
signal BitCnt : Unsigned(3 downto 0); --
|
68 |
|
|
signal SampleCnt : Unsigned(3 downto 0); -- samples on one bit counter
|
69 |
|
|
signal ShtReg : Std_Logic_Vector(7 downto 0); --
|
70 |
|
|
signal DOut : Std_Logic_Vector(7 downto 0); --
|
71 |
|
|
begin
|
72 |
|
|
---------------------------------------------------------------------
|
73 |
|
|
-- Receiver process
|
74 |
|
|
---------------------------------------------------------------------
|
75 |
|
|
RcvProc : process(Clk,Reset,Enable,RxD)
|
76 |
|
|
variable tmpBitCnt : Integer range 0 to 15;
|
77 |
|
|
variable tmpSampleCnt : Integer range 0 to 15;
|
78 |
|
|
constant CntOne : Unsigned(3 downto 0):="0001";
|
79 |
|
|
begin
|
80 |
|
|
if Rising_Edge(Clk) then
|
81 |
|
|
tmpBitCnt := ToInteger(BitCnt);
|
82 |
|
|
tmpSampleCnt := ToInteger(SampleCnt);
|
83 |
|
|
if Reset = '0' then
|
84 |
|
|
BitCnt <= "0000";
|
85 |
|
|
SampleCnt <= "0000";
|
86 |
|
|
Start <= '0';
|
87 |
|
|
tmpDRdy <= '0';
|
88 |
|
|
frameErr <= '0';
|
89 |
|
|
outErr <= '0';
|
90 |
|
|
|
91 |
|
|
ShtReg <= "00000000"; --
|
92 |
|
|
DOut <= "00000000"; --
|
93 |
|
|
else
|
94 |
|
|
if RD = '1' then
|
95 |
|
|
tmpDRdy <= '0'; -- Data was read
|
96 |
|
|
end if;
|
97 |
|
|
|
98 |
|
|
if Enable = '1' then
|
99 |
|
|
if Start = '0' then
|
100 |
|
|
if RxD = '0' then -- Start bit,
|
101 |
|
|
SampleCnt <= SampleCnt + CntOne;
|
102 |
|
|
Start <= '1';
|
103 |
|
|
end if;
|
104 |
|
|
else
|
105 |
|
|
if tmpSampleCnt = 8 then -- reads the RxD line
|
106 |
|
|
tmpRxD <= RxD;
|
107 |
|
|
SampleCnt <= SampleCnt + CntOne;
|
108 |
|
|
elsif tmpSampleCnt = 15 then
|
109 |
|
|
case tmpBitCnt is
|
110 |
|
|
when 0 =>
|
111 |
|
|
if tmpRxD = '1' then -- Start Bit
|
112 |
|
|
Start <= '0';
|
113 |
|
|
else
|
114 |
|
|
BitCnt <= BitCnt + CntOne;
|
115 |
|
|
end if;
|
116 |
|
|
SampleCnt <= SampleCnt + CntOne;
|
117 |
|
|
when 1|2|3|4|5|6|7|8 =>
|
118 |
|
|
BitCnt <= BitCnt + CntOne;
|
119 |
|
|
SampleCnt <= SampleCnt + CntOne;
|
120 |
|
|
ShtReg <= tmpRxD & ShtReg(7 downto 1);
|
121 |
|
|
when 9 =>
|
122 |
|
|
if tmpRxD = '0' then -- stop bit expected
|
123 |
|
|
frameErr <= '1';
|
124 |
|
|
else
|
125 |
|
|
frameErr <= '0';
|
126 |
|
|
end if;
|
127 |
|
|
|
128 |
|
|
if tmpDRdy = '1' then --
|
129 |
|
|
outErr <= '1';
|
130 |
|
|
else
|
131 |
|
|
outErr <= '0';
|
132 |
|
|
end if;
|
133 |
|
|
|
134 |
|
|
tmpDRdy <= '1';
|
135 |
|
|
DOut <= ShtReg;
|
136 |
|
|
BitCnt <= "0000";
|
137 |
|
|
Start <= '0';
|
138 |
|
|
when others =>
|
139 |
|
|
null;
|
140 |
|
|
end case;
|
141 |
|
|
else
|
142 |
|
|
SampleCnt <= SampleCnt + CntOne;
|
143 |
|
|
end if;
|
144 |
|
|
end if;
|
145 |
|
|
end if;
|
146 |
|
|
end if;
|
147 |
|
|
end if;
|
148 |
|
|
end process;
|
149 |
|
|
|
150 |
|
|
DRdy <= tmpDRdy;
|
151 |
|
|
DataIn <= DOut;
|
152 |
|
|
FErr <= frameErr;
|
153 |
|
|
OErr <= outErr;
|
154 |
|
|
|
155 |
|
|
end Behaviour; --==================== End of architecture ====================--
|