OpenCores
URL https://opencores.org/ocsvn/z80control/z80control/trunk

Subversion Repositories z80control

[/] [z80control/] [trunk/] [DE1/] [rtl/] [VHDL/] [led_driver.vhd] - Blame information for rev 12

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 12 tylerapohl
LIBRARY IEEE;
2
USE  IEEE.STD_LOGIC_1164.all;
3
USE  IEEE.STD_LOGIC_UNSIGNED.all;
4
 
5
entity led_driver is
6
        port
7
        (
8
                ENABLE                  : in std_logic;
9
                BYTE                : in std_logic_vector(7 downto 0);
10
                LEDBYTE         : out std_logic_vector(7 downto 0)
11
        );
12
end led_driver;
13
 
14
architecture rtl of led_driver is
15
begin
16
process(ENABLE, BYTE)
17
begin
18
        if (ENABLE = '1')then
19
                LEDBYTE <= BYTE;
20
        end if;
21
end process;
22
end rtl;
23
 

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.