OpenCores
URL https://opencores.org/ocsvn/z80soc/z80soc/trunk

Subversion Repositories z80soc

[/] [z80soc/] [branches/] [RonivonCosta/] [ROM/] [TCGROM.MIF] - Blame information for rev 31

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 2 rrred
Depth = 512;
2
Width = 8;
3
Address_radix = oct;
4
Data_radix = bin;
5
% Character Generator ROM Data %
6
Content
7
  Begin
8
000  : 11000011 ; %   ******    %
9
001  : 01100110 ; %   ******    %
10
002  : 00111100 ; %   ******    %
11
003  : 00011000 ; %   ******    %
12
004  : 00011000 ; %   ******    %
13
005  : 00111100 ; %   ******    %
14
006  : 01100110 ; %   ******    %
15
007  : 11000011 ; %   ******    %
16
 
17
010  : 00011000 ; %     **      %
18
011  : 00111100 ; %    ****     %
19
012  : 01100110 ; %   **  **    %
20
013  : 01111110 ; %   ******    %
21
014  : 01100110 ; %   **  **    %
22
015  : 01100110 ; %   **  **    %
23
016  : 01100110 ; %   **  **    %
24
017  : 00000000 ; %             %
25
 
26
020  : 01111100 ; %   *****     %
27
021  : 01100110 ; %   **  **    %
28
022  : 01100110 ; %   **  **    %
29
023  : 01111100 ; %   *****     %
30
024  : 01100110 ; %   **  **    %
31
025  : 01100110 ; %   **  **    %
32
026  : 01111100 ; %   *****     %
33
027  : 00000000 ; %             %
34
030  : 00111100 ; %    ****     %
35
031  : 01100110 ; %   **  **    %
36
032  : 01100000 ; %   **        %
37
033  : 01100000 ; %   **        %
38
034  : 01100000 ; %   **        %
39
035  : 01100110 ; %   **  **    %
40
036  : 00111100 ; %    ****     %
41
037  : 00000000 ; %             %
42
 
43
040  : 01111000 ; %   ****      %
44
041  : 01101100 ; %   ** **     %
45
042  : 01100110 ; %   **  **    %
46
043  : 01100110 ; %   **  **    %
47
044  : 01100110 ; %   **  **    %
48
045  : 01101100 ; %   ** **     %
49
046  : 01111000 ; %   ****      %
50
047  : 00000000 ; %             %
51
 
52
050  : 01111110 ; %   ******    %
53
051  : 01100000 ; %   **        %
54
052  : 01100000 ; %   **        %
55
053  : 01111000 ; %   ****      %
56
054  : 01100000 ; %   **        %
57
055  : 01100000 ; %   **        %
58
056  : 01111110 ; %   ******    %
59
057  : 00000000 ; %             %
60
 
61
060  : 01111110 ; %   ******    %
62
061  : 01100000 ; %   **        %
63
062  : 01100000 ; %   **        %
64
063  : 01111000 ; %   ****      %
65
064  : 01100000 ; %   **        %
66
065  : 01100000 ; %   **        %
67
066  : 01100000 ; %   **        %
68
067  : 00000000 ; %             %
69
 
70
070  : 00111100 ; %    ****     %
71
071  : 01100110 ; %   **  **    %
72
072  : 01100000 ; %   **        %
73
073  : 01101110 ; %   ** ***    %
74
074  : 01100110 ; %   **  **    %
75
075  : 01100110 ; %   **  **    %
76
076  : 00111100 ; %    ****     %
77
077  : 00000000 ; %             %
78
 
79
100  : 01100110 ; %   **  **    %
80
101  : 01100110 ; %   **  **    %
81
102  : 01100110 ; %   **  **    %
82
103  : 01111110 ; %   ******    %
83
104  : 01100110 ; %   **  **    %
84
105  : 01100110 ; %   **  **    %
85
106  : 01100110 ; %   **  **    %
86
107  : 00000000 ; %             %
87
 
88
110  : 00111100 ; %    ****     %
89
111  : 00011000 ; %     **      %
90
112  : 00011000 ; %     **      %
91
113  : 00011000 ; %     **      %
92
114  : 00011000 ; %     **      %
93
115  : 00011000 ; %     **      %
94
116  : 00111100 ; %    ****     %
95
117  : 00000000 ; %             %
96
 
97
120  : 00011110 ; %     ****    %
98
121  : 00001100 ; %      **     %
99
122  : 00001100 ; %      **     %
100
123  : 00001100 ; %      **     %
101
124  : 00001100 ; %      **     %
102
125  : 01101100 ; %   ** **     %
103
126  : 00111000 ; %    ***      %
104
127  : 00000000 ; %             %
105
 
106
130  : 01100110 ; %   **  **    %
107
131  : 01101100 ; %   ** **     %
108
132  : 01111000 ; %   ****      %
109
133  : 01110000 ; %   ***       %
110
134  : 01111000 ; %   ****      %
111
135  : 01101100 ; %   ** **     %
112
136  : 01100110 ; %   **  **    %
113
137  : 00000000 ; %             %
114
 
115
140  : 01100000 ; %   **        %
116
141  : 01100000 ; %   **        %
117
142  : 01100000 ; %   **        %
118
143  : 01100000 ; %   **        %
119
144  : 01100000 ; %   **        %
120
145  : 01100000 ; %   **        %
121
146  : 01111110 ; %   ******    %
122
147  : 00000000 ; %             %
123
 
124
150  : 01100011 ; %   **   **   %
125
151  : 01110111 ; %   *** ***   %
126
152  : 01111111 ; %   *******   %
127
153  : 01101011 ; %   ** * **   %
128
154  : 01100011 ; %   **   **   %
129
155  : 01100011 ; %   **   **   %
130
156  : 01100011 ; %   **   **   %
131
157  : 00000000 ; %             %
132
 
133
160  : 01100110 ; %   **  **    %
134
161  : 01110110 ; %   *** **    %
135
162  : 01111110 ; %   ******    %
136
163  : 01111110 ; %   ******    %
137
164  : 01101110 ; %   ** ***    %
138
165  : 01100110 ; %   **  **    %
139
166  : 01100110 ; %   **  **    %
140
167  : 00000000 ; %             %
141
 
142
170  : 00111100 ; %    ****     %
143
171  : 01100110 ; %   **  **    %
144
172  : 01100110 ; %   **  **    %
145
173  : 01100110 ; %   **  **    %
146
174  : 01100110 ; %   **  **    %
147
175  : 01100110 ; %   **  **    %
148
176  : 00111100 ; %    ****     %
149
177  : 00000000 ; %             %
150
 
151
200  : 01111100 ; %   *****     %
152
201  : 01100110 ; %   **  **    %
153
202  : 01100110 ; %   **  **    %
154
203  : 01111100 ; %   *****     %
155
204  : 01100000 ; %   **        %
156
205  : 01100000 ; %   **        %
157
206  : 01100000 ; %   **        %
158
207  : 00000000 ; %             %
159
 
160
210  : 00111100 ; %    ****     %
161
211  : 01100110 ; %   **  **    %
162
212  : 01100110 ; %   **  **    %
163
213  : 01100110 ; %   **  **    %
164
214  : 01100110 ; %   **  **    %
165
215  : 00111100 ; %    ****     %
166
216  : 00001110 ; %      ***    %
167
217  : 00000000 ; %             %
168
 
169
220  : 01111100 ; %   *****     %
170
221  : 01100110 ; %   **  **    %
171
222  : 01100110 ; %   **  **    %
172
223  : 01111100 ; %   *****     %
173
224  : 01111000 ; %   ****      %
174
225  : 01101100 ; %   ** **     %
175
226  : 01100110 ; %   **  **    %
176
227  : 00000000 ; %             %
177
 
178
230  : 00111100 ; %    ****     %
179
231  : 01100110 ; %   **  **    %
180
232  : 01100000 ; %   **        %
181
233  : 00111100 ; %    ****     %
182
234  : 00000110 ; %       **    %
183
235  : 01100110 ; %   **  **    %
184
236  : 00111100 ; %    ****     %
185
237  : 00000000 ; %             %
186
 
187
240  : 01111110 ; %   ******    %
188
241  : 00011000 ; %     **      %
189
242  : 00011000 ; %     **      %
190
243  : 00011000 ; %     **      %
191
244  : 00011000 ; %     **      %
192
245  : 00011000 ; %     **      %
193
246  : 00011000 ; %     **      %
194
247  : 00000000 ; %             %
195
 
196
250  : 01100110 ; %   **  **    %
197
251  : 01100110 ; %   **  **    %
198
252  : 01100110 ; %   **  **    %
199
253  : 01100110 ; %   **  **    %
200
254  : 01100110 ; %   **  **    %
201
255  : 01100110 ; %   **  **    %
202
256  : 00111100 ; %    ****     %
203
257  : 00000000 ; %             %
204
 
205
260  : 01100110 ; %   **  **    %
206
261  : 01100110 ; %   **  **    %
207
262  : 01100110 ; %   **  **    %
208
263  : 01100110 ; %   **  **    %
209
264  : 01100110 ; %   **  **    %
210
265  : 00111100 ; %    ****     %
211
266  : 00011000 ; %     **      %
212
267  : 00000000 ; %             %
213
 
214
270  : 01100011 ; %   **   **   %
215
271  : 01100011 ; %   **   **   %
216
272  : 01100011 ; %   **   **   %
217
273  : 01101011 ; %   ** * **   %
218
274  : 01111111 ; %   *******   %
219
275  : 01110111 ; %   *** ***   %
220
276  : 01100011 ; %   **   **   %
221
277  : 00000000 ; %             %
222
 
223
300  : 01100110 ; %   **  **    %
224
301  : 01100110 ; %   **  **    %
225
302  : 00111100 ; %    ****     %
226
303  : 00011000 ; %     **      %
227
304  : 00111100 ; %    ****     %
228
305  : 01100110 ; %   **  **    %
229
306  : 01100110 ; %   **  **    %
230
307  : 00000000 ; %             %
231
 
232
310  : 01100110 ; %   **  **    %
233
311  : 01100110 ; %   **  **    %
234
312  : 01100110 ; %   **  **    %
235
313  : 00111100 ; %    ****     %
236
314  : 00011000 ; %     **      %
237
315  : 00011000 ; %     **      %
238
316  : 00011000 ; %     **      %
239
317  : 00000000 ; %             %
240
 
241
320  : 01111110 ; %   ******    %
242
321  : 00000110 ; %       **    %
243
322  : 00001100 ; %      **     %
244
323  : 00011000 ; %     **      %
245
324  : 00110000 ; %    **       %
246
325  : 01100000 ; %   **        %
247
326  : 01111110 ; %   ******    %
248
327  : 00000000 ; %             %
249
 
250
330  : 00111100 ; %    ****     %
251
331  : 00110000 ; %    **       %
252
332  : 00110000 ; %    **       %
253
333  : 00110000 ; %    **       %
254
334  : 00110000 ; %    **       %
255
335  : 00110000 ; %    **       %
256
336  : 00111100 ; %    ****     %
257
337  : 00000000 ; %             %
258
 
259
340  : 00011000 ; %     **      %
260
341  : 00011000 ; %     **      %
261
342  : 00011000 ; %     **      %
262
343  : 00011000 ; %     **      %
263
344  : 01111110 ; %   ******    %
264
345  : 00111100 ; %    ****     %
265
346  : 00011000 ; %     **      %
266
347  : 00000000 ; %             %
267
 
268
350  : 00111100 ; %    ****     %
269
351  : 00001100 ; %      **     %
270
352  : 00001100 ; %      **     %
271
353  : 00001100 ; %      **     %
272
354  : 00001100 ; %      **     %
273
355  : 00001100 ; %      **     %
274
356  : 00111100 ; %    ****     %
275
357  : 00000000 ; %             %
276
 
277
360  : 00000000 ; %             %
278
361  : 00011000 ; %     **      %
279
362  : 00111100 ; %    ****     %
280
363  : 01111110 ; %   ******    %
281
364  : 00011000 ; %     **      %
282
365  : 00011000 ; %     **      %
283
366  : 00011000 ; %     **      %
284
367  : 00011000 ; %     **      %
285
 
286
370  : 00000000 ; %             %
287
371  : 00010000 ; %     *       %
288
372  : 00110000 ; %    **       %
289
373  : 01111111 ; %   *******   %
290
374  : 01111111 ; %   *******   %
291
375  : 00110000 ; %    **       %
292
376  : 00010000 ; %     *       %
293
377  : 00000000 ; %             %
294
 
295
400  : 00000000 ; %             %
296
401  : 00000000 ; %             %
297
402  : 00000000 ; %             %
298
403  : 00000000 ; %             %
299
404  : 00000000 ; %             %
300
405  : 00000000 ; %             %
301
406  : 00000000 ; %             %
302
407  : 00000000 ; %             %
303
 
304
410  : 00011000 ; %     **      %
305
411  : 00011000 ; %     **      %
306
412  : 00011000 ; %     **      %
307
413  : 00011000 ; %     **      %
308
414  : 00000000 ; %             %
309
415  : 00000000 ; %             %
310
416  : 00011000 ; %     **      %
311
417  : 00000000 ; %             %
312
 
313
420  : 01100110 ; %   **  **    %
314
421  : 01100110 ; %   **  **    %
315
422  : 01100110 ; %   **  **    %
316
423  : 00000000 ; %             %
317
424  : 00000000 ; %             %
318
425  : 00000000 ; %             %
319
426  : 00000000 ; %             %
320
427  : 00000000 ; %             %
321
 
322
430  : 01100110 ; %   **  **    %
323
431  : 01100110 ; %   **  **    %
324
432  : 11111111 ; %  ********   %
325
433  : 01100110 ; %   **  **    %
326
434  : 11111111 ; %  ********   %
327
435  : 01100110 ; %   **  **    %
328
436  : 01100110 ; %   **  **    %
329
437  : 00000000 ; %             %
330
 
331
440  : 00011000 ; %     **      %
332
441  : 00111110 ; %    *****    %
333
442  : 01100000 ; %   **        %
334
443  : 00111100 ; %    ****     %
335
444  : 00000110 ; %       **    %
336
445  : 01111100 ; %   *****     %
337
446  : 00011000 ; %     **      %
338
447  : 00000000 ; %             %
339
 
340
450  : 01100010 ; %   **   *    %
341
451  : 01100110 ; %   **  **    %
342
452  : 00001100 ; %      **     %
343
453  : 00011000 ; %     **      %
344
454  : 00110000 ; %    **       %
345
455  : 01100110 ; %   **  **    %
346
456  : 01000110 ; %   *   **    %
347
457  : 00000000 ; %             %
348
 
349
460  : 00111100 ; %    ****     %
350
461  : 01100110 ; %   **  **    %
351
462  : 00111100 ; %    ****     %
352
463  : 00111000 ; %    ***      %
353
464  : 01100111 ; %   **  ***   %
354
465  : 01100110 ; %   **  **    %
355
466  : 00111111 ; %    ******   %
356
467  : 00000000 ; %             %
357
 
358
470  : 00000110 ; %       **    %
359
471  : 00001100 ; %      **     %
360
472  : 00011000 ; %     **      %
361
473  : 00000000 ; %             %
362
474  : 00000000 ; %             %
363
475  : 00000000 ; %             %
364
476  : 00000000 ; %             %
365
477  : 00000000 ; %             %
366
 
367
500  : 00001100 ; %      **     %
368
501  : 00011000 ; %     **      %
369
502  : 00110000 ; %    **       %
370
503  : 00110000 ; %    **       %
371
504  : 00110000 ; %    **       %
372
505  : 00011000 ; %     **      %
373
506  : 00001100 ; %      **     %
374
507  : 00000000 ; %             %
375
 
376
510  : 00110000 ; %    **       %
377
511  : 00011000 ; %     **      %
378
512  : 00001100 ; %      **     %
379
513  : 00001100 ; %      **     %
380
514  : 00001100 ; %      **     %
381
515  : 00011000 ; %     **      %
382
516  : 00110000 ; %    **       %
383
517  : 00000000 ; %             %
384
 
385
520  : 00000000 ; %             %
386
521  : 01100110 ; %   **  **    %
387
522  : 00111100 ; %    ****     %
388
523  : 11111111 ; %  ********   %
389
524  : 00111100 ; %    ****     %
390
525  : 01100110 ; %   **  **    %
391
526  : 00000000 ; %             %
392
527  : 00000000 ; %             %
393
 
394
530  : 00000000 ; %             %
395
531  : 00011000 ; %     **      %
396
532  : 00011000 ; %     **      %
397
533  : 01111110 ; %   ******    %
398
534  : 00011000 ; %     **      %
399
535  : 00011000 ; %     **      %
400
536  : 00000000 ; %             %
401
537  : 00000000 ; %             %
402
 
403
540  : 00000000 ; %             %
404
541  : 00000000 ; %             %
405
542  : 00000000 ; %             %
406
543  : 00000000 ; %             %
407
544  : 00000000 ; %             %
408
545  : 00011000 ; %     **      %
409
546  : 00011000 ; %     **      %
410
547  : 00110000 ; %    **       %
411
 
412
550  : 00000000 ; %             %
413
551  : 00000000 ; %             %
414
552  : 00000000 ; %             %
415
553  : 01111110 ; %   ******    %
416
554  : 00000000 ; %             %
417
555  : 00000000 ; %             %
418
556  : 00000000 ; %             %
419
557  : 00000000 ; %             %
420
 
421
560  : 00000000 ; %             %
422
561  : 00000000 ; %             %
423
562  : 00000000 ; %             %
424
563  : 00000000 ; %             %
425
564  : 00000000 ; %             %
426
565  : 00011000 ; %     **      %
427
566  : 00011000 ; %     **      %
428
567  : 00000000 ; %             %
429
 
430
570  : 00000000 ; %             %
431
571  : 00000011 ; %        **   %
432
572  : 00000110 ; %       **    %
433
573  : 00001100 ; %      **     %
434
574  : 00011000 ; %     **      %
435
575  : 00110000 ; %    **       %
436
576  : 01100000 ; %   **        %
437
577  : 00000000 ; %             %
438
 
439
600  : 00111100 ; %    ****     %
440
601  : 01100110 ; %   **  **    %
441
602  : 01101110 ; %   ** ***    %
442
603  : 01110110 ; %   *** **    %
443
604  : 01100110 ; %   **  **    %
444
605  : 01100110 ; %   **  **    %
445
606  : 00111100 ; %    ****     %
446
607  : 00000000 ; %             %
447
 
448
610  : 00011000 ; %     **      %
449
611  : 00011000 ; %     **    . %
450
612  : 00111000 ; %    ***      %
451
613  : 00011000 ; %     **      %
452
614  : 00011000 ; %     **      %
453
615  : 00011000 ; %     **      %
454
616  : 01111110 ; %   ******    %
455
617  : 00000000 ; %             %
456
 
457
620  : 00111100 ; %    ****     %
458
621  : 01100110 ; %   **  **    %
459
622  : 00000110 ; %       **    %
460
623  : 00001100 ; %      **     %
461
624  : 00110000 ; %    **       %
462
625  : 01100000 ; %   **        %
463
626  : 01111110 ; %   ******    %
464
627  : 00000000 ; %             %
465
 
466
630  : 00111100 ; %    ****     %
467
631  : 01100110 ; %   **  **    %
468
632  : 00000110 ; %       **    %
469
633  : 00011100 ; %     ***     %
470
634  : 00000110 ; %       **    %
471
635  : 01100110 ; %   **  **    %
472
636  : 00111100 ; %    ****     %
473
637  : 00000000 ; %             %
474
 
475
640  : 00000110 ; %       **    %
476
641  : 00001110 ; %      ***    %
477
642  : 00011110 ; %     ****    %
478
643  : 01100110 ; %   **  **    %
479
644  : 01111111 ; %   *******   %
480
645  : 00000110 ; %       **    %
481
646  : 00000110 ; %       **    %
482
647  : 00000000 ; %             %
483
 
484
650  : 01111110 ; %   ******    %
485
651  : 01100000 ; %   **        %
486
652  : 01111100 ; %   *****     %
487
653  : 00000110 ; %       **    %
488
654  : 00000110 ; %       **    %
489
655  : 01100110 ; %   **  **    %
490
656  : 00111100 ; %    ****     %
491
657  : 00000000 ; %             %
492
 
493
660  : 00111100 ; %    ****     %
494
661  : 01100110 ; %   **  **    %
495
662  : 01100000 ; %   **        %
496
663  : 01111100 ; %   *****     %
497
664  : 01100110 ; %   **  **    %
498
665  : 01100110 ; %   **  **    %
499
666  : 00111100 ; %    ****     %
500
667  : 00000000 ; %             %
501
 
502
670  : 01111110 ; %   ******    %
503
671  : 01100110 ; %   **  **    %
504
672  : 00001100 ; %      **     %
505
673  : 00011000 ; %     **      %
506
674  : 00011000 ; %     **      %
507
675  : 00011000 ; %     **      %
508
676  : 00011000 ; %     **      %
509
677  : 00000000 ; %             %
510
 
511
700  : 00111100 ; %    ****     %
512
701  : 01100110 ; %   **  **    %
513
702  : 01100110 ; %   **  **    %
514
703  : 00111100 ; %    ****     %
515
704  : 01100110 ; %   **  **    %
516
705  : 01100110 ; %   **  **    %
517
706  : 00111100 ; %    ****     %
518
707  : 00000000 ; %             %
519
 
520
710  : 00111100 ; %    ****     %
521
711  : 01100110 ; %   **  **    %
522
712  : 01100110 ; %   **  **    %
523
713  : 00111110 ; %    *****    %
524
714  : 00000110 ; %       **    %
525
715  : 01100110 ; %   **  **    %
526
716  : 00111100 ; %    ****     %
527
717  : 00000000 ; %             %
528
 
529
720  : 00011000 ; %     **      %
530
721  : 00111100 ; %    ****     %
531
722  : 01100110 ; %   **  **    %
532
723  : 01111110 ; %   ******    %
533
724  : 01100110 ; %   **  **    %
534
725  : 01100110 ; %   **  **    %
535
726  : 01100110 ; %   **  **    %
536
727  : 00000000 ; %             %
537
 
538
730  : 01111100 ; %   *****     %
539
731  : 01100110 ; %   **  **    %
540
732  : 01100110 ; %   **  **    %
541
733  : 01111100 ; %   *****     %
542
734  : 01100110 ; %   **  **    %
543
735  : 01100110 ; %   **  **    %
544
736  : 01111100 ; %   *****     %
545
737  : 00000000 ; %             %
546
 
547
740  : 00111100 ; %    ****     %
548
741  : 01100110 ; %   **  **    %
549
742  : 01100000 ; %   **        %
550
743  : 01100000 ; %   **        %
551
744  : 01100000 ; %   **        %
552
745  : 01100110 ; %   **  **    %
553
746  : 00111100 ; %    ****     %
554
747  : 00000000 ; %             %
555
 
556
750  : 01111000 ; %   ****      %
557
751  : 01101100 ; %   ** **     %
558
752  : 01100110 ; %   **  **    %
559
753  : 01100110 ; %   **  **    %
560
754  : 01100110 ; %   **  **    %
561
755  : 01101100 ; %   ** **     %
562
756  : 01111000 ; %   ****      %
563
757  : 00000000 ; %             %
564
 
565
760  : 01111110 ; %   ******    %
566
761  : 01100000 ; %   **        %
567
762  : 01100000 ; %   **        %
568
763  : 01111000 ; %   ****      %
569
764  : 01100000 ; %   **        %
570
765  : 01100000 ; %   **        %
571
766  : 01111110 ; %   ******    %
572
767  : 00000000 ; %             %
573
 
574
770  : 01111110 ; %   ******    %
575
771  : 01100000 ; %   **        %
576
772  : 01100000 ; %   **        %
577
773  : 01111000 ; %   ****      %
578
774  : 01100000 ; %   **        %
579
775  : 01100000 ; %   **        %
580
776  : 01100000 ; %   **        %
581
777  : 00000000 ; %             %
582
 
583
End;

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.