OpenCores
URL https://opencores.org/ocsvn/z80soc/z80soc/trunk

Subversion Repositories z80soc

[/] [z80soc/] [branches/] [RonivonCosta/] [S3E/] [charrom.vhd] - Blame information for rev 33

Go to most recent revision | Details | Compare with Previous | View Log

Line No. Rev Author Line
1 11 rrred
library IEEE;
2
use  IEEE.STD_LOGIC_1164.all;
3
use  IEEE.STD_LOGIC_ARITH.all;
4
use  IEEE.STD_LOGIC_UNSIGNED.all;
5
 
6
Library XilinxCoreLib;
7
 
8
ENTITY charrom IS
9
        port (
10
        clk             : IN    STD_LOGIC;
11
                        character_address                       : IN    STD_LOGIC_VECTOR(7 DOWNTO 0);
12
                        font_row, font_col                      : IN    STD_LOGIC_VECTOR(2 DOWNTO 0);
13
                        rom_mux_output  : OUT   STD_LOGIC);
14
END charrom;
15
 
16
ARCHITECTURE charrom_a OF charrom IS
17
        SIGNAL  dout: STD_LOGIC_VECTOR(7 DOWNTO 0);
18
        SIGNAL  addr: STD_LOGIC_VECTOR(10 DOWNTO 0);
19
 
20
component char
21
        port (
22
        clka: IN std_logic;
23
        addra: IN std_logic_VECTOR(10 downto 0);
24
        douta: OUT std_logic_VECTOR(7 downto 0));
25
end component;
26
 
27
BEGIN
28
addr <= character_address & font_row;
29
-- Mux to pick off correct rom data bit from 8-bit word
30
-- for on screen character generation
31
rom_mux_output <= dout ( (CONV_INTEGER(NOT font_col(2 downto 0))));
32
 
33
char_inst : char
34
                port map (
35
                        addra => addr,
36
                        clka => clk,
37
                        douta => dout);
38
 
39
END charrom_a;
40
 

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.