OpenCores
URL https://opencores.org/ocsvn/z80soc/z80soc/trunk

Subversion Repositories z80soc

[/] [z80soc/] [tags/] [z80soc05b/] [ROM/] [rom.vhd] - Blame information for rev 31

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 2 rrred
library IEEE;
2
use IEEE.std_logic_1164.all;
3
use ieee.numeric_std.all;
4
 
5
entity rom is
6 4 rrred
        port(
7
                Clk             : in std_logic;
8
                A               : in std_logic_vector(15 downto 0);
9
                D               : out std_logic_vector(7 downto 0)
10
        );
11 2 rrred
end rom;
12
 
13
architecture rtl of rom is
14
begin
15
 
16
process (Clk)
17
begin
18
 if Clk'event and Clk = '1' then
19 4 rrred
        case A is
20 2 rrred
             when x"0000" => D <= x"31";
21
             when x"0001" => D <= x"FF";
22
             when x"0002" => D <= x"FF";
23 4 rrred
             when x"0003" => D <= x"CD";
24 6 rrred
             when x"0004" => D <= x"C7";
25 4 rrred
             when x"0005" => D <= x"00";
26 2 rrred
             when x"0006" => D <= x"21";
27 4 rrred
             when x"0007" => D <= x"32";
28
             when x"0008" => D <= x"20";
29
             when x"0009" => D <= x"11";
30 6 rrred
             when x"000A" => D <= x"0E";
31 4 rrred
             when x"000B" => D <= x"01";
32
             when x"000C" => D <= x"CD";
33 6 rrred
             when x"000D" => D <= x"D7";
34 4 rrred
             when x"000E" => D <= x"00";
35 2 rrred
             when x"000F" => D <= x"21";
36 4 rrred
             when x"0010" => D <= x"5A";
37
             when x"0011" => D <= x"20";
38
             when x"0012" => D <= x"11";
39 6 rrred
             when x"0013" => D <= x"21";
40 4 rrred
             when x"0014" => D <= x"01";
41
             when x"0015" => D <= x"CD";
42 6 rrred
             when x"0016" => D <= x"D7";
43 4 rrred
             when x"0017" => D <= x"00";
44
             when x"0018" => D <= x"CD";
45 6 rrred
             when x"0019" => D <= x"E8";
46 4 rrred
             when x"001A" => D <= x"00";
47
             when x"001B" => D <= x"21";
48
             when x"001C" => D <= x"46";
49
             when x"001D" => D <= x"21";
50
             when x"001E" => D <= x"11";
51 6 rrred
             when x"001F" => D <= x"34";
52 2 rrred
             when x"0020" => D <= x"01";
53 4 rrred
             when x"0021" => D <= x"CD";
54 6 rrred
             when x"0022" => D <= x"D7";
55 4 rrred
             when x"0023" => D <= x"00";
56
             when x"0024" => D <= x"21";
57
             when x"0025" => D <= x"96";
58
             when x"0026" => D <= x"21";
59
             when x"0027" => D <= x"11";
60 6 rrred
             when x"0028" => D <= x"50";
61 4 rrred
             when x"0029" => D <= x"01";
62
             when x"002A" => D <= x"CD";
63 6 rrred
             when x"002B" => D <= x"D7";
64 4 rrred
             when x"002C" => D <= x"00";
65
             when x"002D" => D <= x"21";
66
             when x"002E" => D <= x"E6";
67
             when x"002F" => D <= x"21";
68
             when x"0030" => D <= x"11";
69 6 rrred
             when x"0031" => D <= x"6D";
70 4 rrred
             when x"0032" => D <= x"01";
71
             when x"0033" => D <= x"CD";
72 6 rrred
             when x"0034" => D <= x"D7";
73 4 rrred
             when x"0035" => D <= x"00";
74
             when x"0036" => D <= x"21";
75
             when x"0037" => D <= x"36";
76
             when x"0038" => D <= x"22";
77
             when x"0039" => D <= x"11";
78 6 rrred
             when x"003A" => D <= x"8A";
79 4 rrred
             when x"003B" => D <= x"01";
80
             when x"003C" => D <= x"CD";
81 6 rrred
             when x"003D" => D <= x"D7";
82 4 rrred
             when x"003E" => D <= x"00";
83
             when x"003F" => D <= x"21";
84
             when x"0040" => D <= x"5E";
85
             when x"0041" => D <= x"22";
86
             when x"0042" => D <= x"11";
87 6 rrred
             when x"0043" => D <= x"9E";
88 4 rrred
             when x"0044" => D <= x"01";
89
             when x"0045" => D <= x"CD";
90 6 rrred
             when x"0046" => D <= x"D7";
91 4 rrred
             when x"0047" => D <= x"00";
92
             when x"0048" => D <= x"DB";
93
             when x"0049" => D <= x"20";
94
             when x"004A" => D <= x"32";
95 2 rrred
             when x"004B" => D <= x"00";
96 4 rrred
             when x"004C" => D <= x"E0";
97
             when x"004D" => D <= x"11";
98
             when x"004E" => D <= x"D6";
99
             when x"004F" => D <= x"22";
100
             when x"0050" => D <= x"01";
101 6 rrred
             when x"0051" => D <= x"1E";
102 4 rrred
             when x"0052" => D <= x"00";
103
             when x"0053" => D <= x"CD";
104 6 rrred
             when x"0054" => D <= x"DF";
105 4 rrred
             when x"0055" => D <= x"00";
106
             when x"0056" => D <= x"FE";
107
             when x"0057" => D <= x"41";
108
             when x"0058" => D <= x"28";
109
             when x"0059" => D <= x"0B";
110
             when x"005A" => D <= x"D3";
111
             when x"005B" => D <= x"11";
112
             when x"005C" => D <= x"12";
113
             when x"005D" => D <= x"13";
114
             when x"005E" => D <= x"0B";
115
             when x"005F" => D <= x"78";
116
             when x"0060" => D <= x"B1";
117
             when x"0061" => D <= x"28";
118
             when x"0062" => D <= x"EA";
119
             when x"0063" => D <= x"18";
120
             when x"0064" => D <= x"EE";
121
             when x"0065" => D <= x"21";
122
             when x"0066" => D <= x"00";
123
             when x"0067" => D <= x"40";
124
             when x"0068" => D <= x"3E";
125
             when x"0069" => D <= x"00";
126
             when x"006A" => D <= x"77";
127
             when x"006B" => D <= x"23";
128
             when x"006C" => D <= x"3C";
129
             when x"006D" => D <= x"20";
130
             when x"006E" => D <= x"FB";
131
             when x"006F" => D <= x"3E";
132
             when x"0070" => D <= x"01";
133
             when x"0071" => D <= x"D3";
134
             when x"0072" => D <= x"01";
135
             when x"0073" => D <= x"CD";
136 6 rrred
             when x"0074" => D <= x"B9";
137 4 rrred
             when x"0075" => D <= x"00";
138
             when x"0076" => D <= x"CD";
139 6 rrred
             when x"0077" => D <= x"B9";
140 4 rrred
             when x"0078" => D <= x"00";
141
             when x"0079" => D <= x"CD";
142 6 rrred
             when x"007A" => D <= x"B9";
143 4 rrred
             when x"007B" => D <= x"00";
144
             when x"007C" => D <= x"CD";
145 6 rrred
             when x"007D" => D <= x"A6";
146 4 rrred
             when x"007E" => D <= x"00";
147
             when x"007F" => D <= x"CD";
148 6 rrred
             when x"0080" => D <= x"B9";
149 4 rrred
             when x"0081" => D <= x"00";
150
             when x"0082" => D <= x"CD";
151 6 rrred
             when x"0083" => D <= x"A6";
152 4 rrred
             when x"0084" => D <= x"00";
153
             when x"0085" => D <= x"21";
154
             when x"0086" => D <= x"00";
155
             when x"0087" => D <= x"40";
156
             when x"0088" => D <= x"7C";
157
             when x"0089" => D <= x"D3";
158
             when x"008A" => D <= x"11";
159
             when x"008B" => D <= x"7D";
160
             when x"008C" => D <= x"D3";
161
             when x"008D" => D <= x"10";
162
             when x"008E" => D <= x"7E";
163
             when x"008F" => D <= x"D3";
164
             when x"0090" => D <= x"01";
165
             when x"0091" => D <= x"CD";
166 6 rrred
             when x"0092" => D <= x"B9";
167 4 rrred
             when x"0093" => D <= x"00";
168
             when x"0094" => D <= x"23";
169
             when x"0095" => D <= x"7E";
170
             when x"0096" => D <= x"FE";
171
             when x"0097" => D <= x"FF";
172 2 rrred
             when x"0098" => D <= x"20";
173 4 rrred
             when x"0099" => D <= x"EE";
174
             when x"009A" => D <= x"3E";
175
             when x"009B" => D <= x"00";
176
             when x"009C" => D <= x"D3";
177
             when x"009D" => D <= x"01";
178
             when x"009E" => D <= x"D3";
179
             when x"009F" => D <= x"02";
180
             when x"00A0" => D <= x"CD";
181 6 rrred
             when x"00A1" => D <= x"A6";
182 4 rrred
             when x"00A2" => D <= x"00";
183 6 rrred
             when x"00A3" => D <= x"C3";
184
             when x"00A4" => D <= x"03";
185
             when x"00A5" => D <= x"00";
186
             when x"00A6" => D <= x"CD";
187
             when x"00A7" => D <= x"B9";
188
             when x"00A8" => D <= x"00";
189
             when x"00A9" => D <= x"DB";
190
             when x"00AA" => D <= x"30";
191
             when x"00AB" => D <= x"D3";
192
             when x"00AC" => D <= x"10";
193
             when x"00AD" => D <= x"FE";
194
             when x"00AE" => D <= x"0E";
195
             when x"00AF" => D <= x"20";
196
             when x"00B0" => D <= x"F5";
197
             when x"00B1" => D <= x"C9";
198
             when x"00B2" => D <= x"3A";
199
             when x"00B3" => D <= x"00";
200
             when x"00B4" => D <= x"E0";
201
             when x"00B5" => D <= x"3D";
202
             when x"00B6" => D <= x"20";
203
             when x"00B7" => D <= x"FD";
204
             when x"00B8" => D <= x"C9";
205
             when x"00B9" => D <= x"3A";
206
             when x"00BA" => D <= x"00";
207
             when x"00BB" => D <= x"E0";
208
             when x"00BC" => D <= x"F5";
209
             when x"00BD" => D <= x"3E";
210
             when x"00BE" => D <= x"FF";
211
             when x"00BF" => D <= x"3D";
212
             when x"00C0" => D <= x"20";
213
             when x"00C1" => D <= x"FD";
214
             when x"00C2" => D <= x"F1";
215
             when x"00C3" => D <= x"3D";
216
             when x"00C4" => D <= x"20";
217
             when x"00C5" => D <= x"F6";
218
             when x"00C6" => D <= x"C9";
219
             when x"00C7" => D <= x"21";
220
             when x"00C8" => D <= x"00";
221
             when x"00C9" => D <= x"20";
222
             when x"00CA" => D <= x"11";
223
             when x"00CB" => D <= x"B0";
224
             when x"00CC" => D <= x"04";
225
             when x"00CD" => D <= x"3E";
226
             when x"00CE" => D <= x"20";
227
             when x"00CF" => D <= x"77";
228
             when x"00D0" => D <= x"23";
229
             when x"00D1" => D <= x"1B";
230
             when x"00D2" => D <= x"7A";
231
             when x"00D3" => D <= x"B3";
232
             when x"00D4" => D <= x"20";
233
             when x"00D5" => D <= x"F7";
234
             when x"00D6" => D <= x"C9";
235
             when x"00D7" => D <= x"1A";
236
             when x"00D8" => D <= x"B7";
237
             when x"00D9" => D <= x"C8";
238
             when x"00DA" => D <= x"77";
239
             when x"00DB" => D <= x"23";
240
             when x"00DC" => D <= x"13";
241
             when x"00DD" => D <= x"18";
242
             when x"00DE" => D <= x"F8";
243
             when x"00DF" => D <= x"CD";
244
             when x"00E0" => D <= x"B9";
245
             when x"00E1" => D <= x"00";
246
             when x"00E2" => D <= x"DB";
247
             when x"00E3" => D <= x"80";
248
             when x"00E4" => D <= x"B7";
249
             when x"00E5" => D <= x"28";
250
             when x"00E6" => D <= x"F8";
251
             when x"00E7" => D <= x"C9";
252
             when x"00E8" => D <= x"11";
253
             when x"00E9" => D <= x"BD";
254
             when x"00EA" => D <= x"01";
255
             when x"00EB" => D <= x"21";
256
             when x"00EC" => D <= x"F5";
257
             when x"00ED" => D <= x"20";
258
             when x"00EE" => D <= x"CD";
259
             when x"00EF" => D <= x"D7";
260
             when x"00F0" => D <= x"00";
261
             when x"00F1" => D <= x"21";
262
             when x"00F2" => D <= x"1D";
263
             when x"00F3" => D <= x"21";
264
             when x"00F4" => D <= x"3E";
265
             when x"00F5" => D <= x"0A";
266
             when x"00F6" => D <= x"11";
267
             when x"00F7" => D <= x"FF";
268
             when x"00F8" => D <= x"01";
269
             when x"00F9" => D <= x"F5";
270
             when x"00FA" => D <= x"E5";
271
             when x"00FB" => D <= x"CD";
272
             when x"00FC" => D <= x"D7";
273
             when x"00FD" => D <= x"00";
274
             when x"00FE" => D <= x"E1";
275
             when x"00FF" => D <= x"F1";
276
             when x"0100" => D <= x"01";
277
             when x"0101" => D <= x"28";
278
             when x"0102" => D <= x"00";
279
             when x"0103" => D <= x"09";
280
             when x"0104" => D <= x"3D";
281
             when x"0105" => D <= x"20";
282
             when x"0106" => D <= x"EF";
283
             when x"0107" => D <= x"11";
284
             when x"0108" => D <= x"DE";
285
             when x"0109" => D <= x"01";
286
             when x"010A" => D <= x"CD";
287
             when x"010B" => D <= x"D7";
288
             when x"010C" => D <= x"00";
289
             when x"010D" => D <= x"C9";
290
             when x"010E" => D <= x"5A";
291
             when x"010F" => D <= x"38";
292
             when x"0110" => D <= x"30";
293
             when x"0111" => D <= x"20";
294
             when x"0112" => D <= x"53";
295
             when x"0113" => D <= x"59";
296
             when x"0114" => D <= x"53";
297
             when x"0115" => D <= x"54";
298
             when x"0116" => D <= x"45";
299
             when x"0117" => D <= x"4D";
300
             when x"0118" => D <= x"20";
301
             when x"0119" => D <= x"4F";
302
             when x"011A" => D <= x"4E";
303
             when x"011B" => D <= x"20";
304
             when x"011C" => D <= x"43";
305
             when x"011D" => D <= x"48";
306
             when x"011E" => D <= x"49";
307
             when x"011F" => D <= x"50";
308
             when x"0120" => D <= x"00";
309
             when x"0121" => D <= x"52";
310
             when x"0122" => D <= x"4F";
311
             when x"0123" => D <= x"4E";
312
             when x"0124" => D <= x"49";
313
             when x"0125" => D <= x"56";
314
             when x"0126" => D <= x"4F";
315
             when x"0127" => D <= x"4E";
316
             when x"0128" => D <= x"20";
317
             when x"0129" => D <= x"43";
318
             when x"012A" => D <= x"4F";
319
             when x"012B" => D <= x"53";
320
             when x"012C" => D <= x"54";
321
             when x"012D" => D <= x"41";
322
             when x"012E" => D <= x"20";
323
             when x"012F" => D <= x"32";
324 4 rrred
             when x"0130" => D <= x"30";
325 6 rrred
             when x"0131" => D <= x"30";
326
             when x"0132" => D <= x"38";
327
             when x"0133" => D <= x"00";
328
             when x"0134" => D <= x"20";
329
             when x"0135" => D <= x"20";
330
             when x"0136" => D <= x"7C";
331
             when x"0137" => D <= x"21";
332
             when x"0138" => D <= x"23";
333
             when x"0139" => D <= x"24";
334
             when x"013A" => D <= x"25";
335
             when x"013B" => D <= x"26";
336
             when x"013C" => D <= x"2F";
337
             when x"013D" => D <= x"28";
338
             when x"013E" => D <= x"29";
339
             when x"013F" => D <= x"3D";
340
             when x"0140" => D <= x"3F";
341
             when x"0141" => D <= x"2A";
342
             when x"0142" => D <= x"60";
343
             when x"0143" => D <= x"2B";
344
             when x"0144" => D <= x"B4";
345
             when x"0145" => D <= x"E7";
346
             when x"0146" => D <= x"7E";
347
             when x"0147" => D <= x"5E";
348
             when x"0148" => D <= x"2C";
349
             when x"0149" => D <= x"2E";
350
             when x"014A" => D <= x"3B";
351
             when x"014B" => D <= x"3A";
352
             when x"014C" => D <= x"5C";
353
             when x"014D" => D <= x"3C";
354
             when x"014E" => D <= x"3E";
355
             when x"014F" => D <= x"00";
356
             when x"0150" => D <= x"20";
357
             when x"0151" => D <= x"20";
358
             when x"0152" => D <= x"41";
359
             when x"0153" => D <= x"42";
360
             when x"0154" => D <= x"43";
361
             when x"0155" => D <= x"44";
362
             when x"0156" => D <= x"45";
363
             when x"0157" => D <= x"46";
364
             when x"0158" => D <= x"47";
365
             when x"0159" => D <= x"48";
366
             when x"015A" => D <= x"49";
367
             when x"015B" => D <= x"4A";
368
             when x"015C" => D <= x"4B";
369
             when x"015D" => D <= x"4C";
370
             when x"015E" => D <= x"4D";
371
             when x"015F" => D <= x"4E";
372
             when x"0160" => D <= x"4F";
373
             when x"0161" => D <= x"50";
374
             when x"0162" => D <= x"51";
375
             when x"0163" => D <= x"52";
376
             when x"0164" => D <= x"53";
377
             when x"0165" => D <= x"54";
378
             when x"0166" => D <= x"55";
379
             when x"0167" => D <= x"56";
380
             when x"0168" => D <= x"57";
381
             when x"0169" => D <= x"58";
382
             when x"016A" => D <= x"59";
383
             when x"016B" => D <= x"5A";
384
             when x"016C" => D <= x"00";
385
             when x"016D" => D <= x"20";
386
             when x"016E" => D <= x"20";
387
             when x"016F" => D <= x"61";
388
             when x"0170" => D <= x"62";
389
             when x"0171" => D <= x"63";
390
             when x"0172" => D <= x"64";
391
             when x"0173" => D <= x"65";
392
             when x"0174" => D <= x"66";
393
             when x"0175" => D <= x"67";
394
             when x"0176" => D <= x"68";
395
             when x"0177" => D <= x"69";
396
             when x"0178" => D <= x"6A";
397
             when x"0179" => D <= x"6B";
398
             when x"017A" => D <= x"6C";
399
             when x"017B" => D <= x"6D";
400
             when x"017C" => D <= x"6E";
401
             when x"017D" => D <= x"6F";
402
             when x"017E" => D <= x"70";
403
             when x"017F" => D <= x"71";
404
             when x"0180" => D <= x"72";
405
             when x"0181" => D <= x"73";
406
             when x"0182" => D <= x"74";
407
             when x"0183" => D <= x"75";
408
             when x"0184" => D <= x"76";
409
             when x"0185" => D <= x"77";
410
             when x"0186" => D <= x"78";
411
             when x"0187" => D <= x"79";
412
             when x"0188" => D <= x"7A";
413
             when x"0189" => D <= x"00";
414 4 rrred
             when x"018A" => D <= x"20";
415
             when x"018B" => D <= x"20";
416
             when x"018C" => D <= x"20";
417 6 rrred
             when x"018D" => D <= x"20";
418
             when x"018E" => D <= x"20";
419
             when x"018F" => D <= x"20";
420
             when x"0190" => D <= x"20";
421
             when x"0191" => D <= x"20";
422
             when x"0192" => D <= x"20";
423
             when x"0193" => D <= x"30";
424
             when x"0194" => D <= x"31";
425
             when x"0195" => D <= x"32";
426
             when x"0196" => D <= x"33";
427
             when x"0197" => D <= x"34";
428
             when x"0198" => D <= x"35";
429
             when x"0199" => D <= x"36";
430
             when x"019A" => D <= x"37";
431
             when x"019B" => D <= x"38";
432
             when x"019C" => D <= x"39";
433
             when x"019D" => D <= x"00";
434
             when x"019E" => D <= x"02";
435
             when x"019F" => D <= x"03";
436
             when x"01A0" => D <= x"04";
437
             when x"01A1" => D <= x"0B";
438
             when x"01A2" => D <= x"0C";
439
             when x"01A3" => D <= x"0D";
440
             when x"01A4" => D <= x"0E";
441
             when x"01A5" => D <= x"12";
442
             when x"01A6" => D <= x"18";
443
             when x"01A7" => D <= x"19";
444
             when x"01A8" => D <= x"1A";
445
             when x"01A9" => D <= x"1B";
446
             when x"01AA" => D <= x"E8";
447
             when x"01AB" => D <= x"E9";
448
             when x"01AC" => D <= x"EB";
449
             when x"01AD" => D <= x"BB";
450
             when x"01AE" => D <= x"BC";
451
             when x"01AF" => D <= x"8A";
452
             when x"01B0" => D <= x"86";
453
             when x"01B1" => D <= x"87";
454
             when x"01B2" => D <= x"81";
455
             when x"01B3" => D <= x"80";
456
             when x"01B4" => D <= x"01";
457
             when x"01B5" => D <= x"06";
458
             when x"01B6" => D <= x"07";
459
             when x"01B7" => D <= x"08";
460
             when x"01B8" => D <= x"09";
461
             when x"01B9" => D <= x"0A";
462
             when x"01BA" => D <= x"1D";
463
             when x"01BB" => D <= x"1F";
464
             when x"01BC" => D <= x"00";
465
             when x"01BD" => D <= x"C9";
466
             when x"01BE" => D <= x"CD";
467
             when x"01BF" => D <= x"CD";
468
             when x"01C0" => D <= x"CD";
469
             when x"01C1" => D <= x"CD";
470
             when x"01C2" => D <= x"CD";
471
             when x"01C3" => D <= x"CD";
472
             when x"01C4" => D <= x"CD";
473
             when x"01C5" => D <= x"CD";
474
             when x"01C6" => D <= x"CD";
475
             when x"01C7" => D <= x"CD";
476
             when x"01C8" => D <= x"CD";
477
             when x"01C9" => D <= x"CD";
478
             when x"01CA" => D <= x"CD";
479
             when x"01CB" => D <= x"CD";
480
             when x"01CC" => D <= x"CD";
481
             when x"01CD" => D <= x"CD";
482
             when x"01CE" => D <= x"CD";
483
             when x"01CF" => D <= x"CD";
484
             when x"01D0" => D <= x"CD";
485
             when x"01D1" => D <= x"CD";
486
             when x"01D2" => D <= x"CD";
487
             when x"01D3" => D <= x"CD";
488
             when x"01D4" => D <= x"CD";
489
             when x"01D5" => D <= x"CD";
490
             when x"01D6" => D <= x"CD";
491
             when x"01D7" => D <= x"CD";
492
             when x"01D8" => D <= x"CD";
493
             when x"01D9" => D <= x"CD";
494
             when x"01DA" => D <= x"CD";
495
             when x"01DB" => D <= x"CD";
496
             when x"01DC" => D <= x"BB";
497
             when x"01DD" => D <= x"00";
498
             when x"01DE" => D <= x"C8";
499
             when x"01DF" => D <= x"CD";
500
             when x"01E0" => D <= x"CD";
501
             when x"01E1" => D <= x"CD";
502
             when x"01E2" => D <= x"CD";
503
             when x"01E3" => D <= x"CD";
504
             when x"01E4" => D <= x"CD";
505
             when x"01E5" => D <= x"CD";
506
             when x"01E6" => D <= x"CD";
507
             when x"01E7" => D <= x"CD";
508
             when x"01E8" => D <= x"CD";
509
             when x"01E9" => D <= x"CD";
510
             when x"01EA" => D <= x"CD";
511
             when x"01EB" => D <= x"CD";
512
             when x"01EC" => D <= x"CD";
513
             when x"01ED" => D <= x"CD";
514
             when x"01EE" => D <= x"CD";
515
             when x"01EF" => D <= x"CD";
516
             when x"01F0" => D <= x"CD";
517
             when x"01F1" => D <= x"CD";
518
             when x"01F2" => D <= x"CD";
519
             when x"01F3" => D <= x"CD";
520
             when x"01F4" => D <= x"CD";
521
             when x"01F5" => D <= x"CD";
522
             when x"01F6" => D <= x"CD";
523
             when x"01F7" => D <= x"CD";
524
             when x"01F8" => D <= x"CD";
525
             when x"01F9" => D <= x"CD";
526
             when x"01FA" => D <= x"CD";
527
             when x"01FB" => D <= x"CD";
528
             when x"01FC" => D <= x"CD";
529
             when x"01FD" => D <= x"BC";
530
             when x"01FE" => D <= x"00";
531
             when x"01FF" => D <= x"BA";
532
             when x"0200" => D <= x"20";
533
             when x"0201" => D <= x"20";
534
             when x"0202" => D <= x"20";
535
             when x"0203" => D <= x"20";
536
             when x"0204" => D <= x"20";
537
             when x"0205" => D <= x"20";
538
             when x"0206" => D <= x"20";
539
             when x"0207" => D <= x"20";
540
             when x"0208" => D <= x"20";
541
             when x"0209" => D <= x"20";
542
             when x"020A" => D <= x"20";
543
             when x"020B" => D <= x"20";
544
             when x"020C" => D <= x"20";
545
             when x"020D" => D <= x"20";
546
             when x"020E" => D <= x"20";
547
             when x"020F" => D <= x"20";
548
             when x"0210" => D <= x"20";
549
             when x"0211" => D <= x"20";
550
             when x"0212" => D <= x"20";
551
             when x"0213" => D <= x"20";
552
             when x"0214" => D <= x"20";
553
             when x"0215" => D <= x"20";
554
             when x"0216" => D <= x"20";
555
             when x"0217" => D <= x"20";
556
             when x"0218" => D <= x"20";
557
             when x"0219" => D <= x"20";
558
             when x"021A" => D <= x"20";
559
             when x"021B" => D <= x"20";
560
             when x"021C" => D <= x"20";
561
             when x"021D" => D <= x"20";
562
             when x"021E" => D <= x"BA";
563
             when x"021F" => D <= x"00";
564 2 rrred
             when others => D <= x"00";
565 4 rrred
        end case;
566 2 rrred
 end if;
567
end process;
568
end;

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.