OpenCores
URL https://opencores.org/ocsvn/z80soc/z80soc/trunk

Subversion Repositories z80soc

[/] [z80soc/] [tags/] [z80soc05b/] [rtl/] [VHDL/] [vram8k.vhd] - Blame information for rev 8

Go to most recent revision | Details | Compare with Previous | View Log

Line No. Rev Author Line
1 2 rrred
-- megafunction wizard: %RAM: 2-PORT%
2
-- GENERATION: STANDARD
3
-- VERSION: WM1.0
4
-- MODULE: altsyncram 
5
 
6
-- ============================================================
7
-- File Name: vram8k.vhd
8
-- Megafunction Name(s):
9
--                      altsyncram
10
--
11
-- Simulation Library Files(s):
12
--                      altera_mf
13
-- ============================================================
14
-- ************************************************************
15
-- THIS IS A WIZARD-GENERATED FILE. DO NOT EDIT THIS FILE!
16
--
17
-- 7.2 Build 175 11/20/2007 SP 1 SJ Web Edition
18
-- ************************************************************
19
 
20
 
21
--Copyright (C) 1991-2007 Altera Corporation
22
--Your use of Altera Corporation's design tools, logic functions 
23
--and other software and tools, and its AMPP partner logic 
24
--functions, and any output files from any of the foregoing 
25
--(including device programming or simulation files), and any 
26
--associated documentation or information are expressly subject 
27
--to the terms and conditions of the Altera Program License 
28
--Subscription Agreement, Altera MegaCore Function License 
29
--Agreement, or other applicable license agreement, including, 
30
--without limitation, that your use is for the sole purpose of 
31
--programming logic devices manufactured by Altera and sold by 
32
--Altera or its authorized distributors.  Please refer to the 
33
--applicable agreement for further details.
34
 
35
 
36
LIBRARY ieee;
37
USE ieee.std_logic_1164.all;
38
 
39
LIBRARY altera_mf;
40
USE altera_mf.all;
41
 
42
ENTITY vram8k IS
43
        PORT
44
        (
45
                data            : IN STD_LOGIC_VECTOR (7 DOWNTO 0);
46
                rdaddress               : IN STD_LOGIC_VECTOR (12 DOWNTO 0);
47
                rdclock         : IN STD_LOGIC ;
48
                rden            : IN STD_LOGIC  := '1';
49
                wraddress               : IN STD_LOGIC_VECTOR (12 DOWNTO 0);
50
                wrclock         : IN STD_LOGIC ;
51
                wren            : IN STD_LOGIC  := '1';
52
                q               : OUT STD_LOGIC_VECTOR (7 DOWNTO 0)
53
        );
54
END vram8k;
55
 
56
 
57
ARCHITECTURE SYN OF vram8k IS
58
 
59
        SIGNAL sub_wire0        : STD_LOGIC_VECTOR (7 DOWNTO 0);
60
 
61
 
62
 
63
        COMPONENT altsyncram
64
        GENERIC (
65
                address_reg_b           : STRING;
66
                clock_enable_input_a            : STRING;
67
                clock_enable_input_b            : STRING;
68
                clock_enable_output_a           : STRING;
69
                clock_enable_output_b           : STRING;
70
                intended_device_family          : STRING;
71
                lpm_type                : STRING;
72
                numwords_a              : NATURAL;
73
                numwords_b              : NATURAL;
74
                operation_mode          : STRING;
75
                outdata_aclr_b          : STRING;
76
                outdata_reg_b           : STRING;
77
                power_up_uninitialized          : STRING;
78
                rdcontrol_reg_b         : STRING;
79
                widthad_a               : NATURAL;
80
                widthad_b               : NATURAL;
81
                width_a         : NATURAL;
82
                width_b         : NATURAL;
83
                width_byteena_a         : NATURAL
84
        );
85
        PORT (
86
                        wren_a  : IN STD_LOGIC ;
87
                        clock0  : IN STD_LOGIC ;
88
                        clock1  : IN STD_LOGIC ;
89
                        address_a       : IN STD_LOGIC_VECTOR (12 DOWNTO 0);
90
                        address_b       : IN STD_LOGIC_VECTOR (12 DOWNTO 0);
91
                        rden_b  : IN STD_LOGIC ;
92
                        q_b     : OUT STD_LOGIC_VECTOR (7 DOWNTO 0);
93
                        data_a  : IN STD_LOGIC_VECTOR (7 DOWNTO 0)
94
        );
95
        END COMPONENT;
96
 
97
BEGIN
98
        q    <= sub_wire0(7 DOWNTO 0);
99
 
100
        altsyncram_component : altsyncram
101
        GENERIC MAP (
102
                address_reg_b => "CLOCK1",
103
                clock_enable_input_a => "BYPASS",
104
                clock_enable_input_b => "BYPASS",
105
                clock_enable_output_a => "BYPASS",
106
                clock_enable_output_b => "BYPASS",
107
                intended_device_family => "Cyclone II",
108
                lpm_type => "altsyncram",
109
                numwords_a => 8192,
110
                numwords_b => 8192,
111
                operation_mode => "DUAL_PORT",
112
                outdata_aclr_b => "NONE",
113
                outdata_reg_b => "UNREGISTERED",
114
                power_up_uninitialized => "FALSE",
115
                rdcontrol_reg_b => "CLOCK1",
116
                widthad_a => 13,
117
                widthad_b => 13,
118
                width_a => 8,
119
                width_b => 8,
120
                width_byteena_a => 1
121
        )
122
        PORT MAP (
123
                wren_a => wren,
124
                clock0 => wrclock,
125
                clock1 => rdclock,
126
                address_a => wraddress,
127
                address_b => rdaddress,
128
                rden_b => rden,
129
                data_a => data,
130
                q_b => sub_wire0
131
        );
132
 
133
 
134
 
135
END SYN;
136
 
137
-- ============================================================
138
-- CNX file retrieval info
139
-- ============================================================
140
-- Retrieval info: PRIVATE: ADDRESSSTALL_A NUMERIC "0"
141
-- Retrieval info: PRIVATE: ADDRESSSTALL_B NUMERIC "0"
142
-- Retrieval info: PRIVATE: BYTEENA_ACLR_A NUMERIC "0"
143
-- Retrieval info: PRIVATE: BYTEENA_ACLR_B NUMERIC "0"
144
-- Retrieval info: PRIVATE: BYTE_ENABLE_A NUMERIC "0"
145
-- Retrieval info: PRIVATE: BYTE_ENABLE_B NUMERIC "0"
146
-- Retrieval info: PRIVATE: BYTE_SIZE NUMERIC "8"
147
-- Retrieval info: PRIVATE: BlankMemory NUMERIC "1"
148
-- Retrieval info: PRIVATE: CLOCK_ENABLE_INPUT_A NUMERIC "0"
149
-- Retrieval info: PRIVATE: CLOCK_ENABLE_INPUT_B NUMERIC "0"
150
-- Retrieval info: PRIVATE: CLOCK_ENABLE_OUTPUT_A NUMERIC "0"
151
-- Retrieval info: PRIVATE: CLOCK_ENABLE_OUTPUT_B NUMERIC "0"
152
-- Retrieval info: PRIVATE: CLRdata NUMERIC "0"
153
-- Retrieval info: PRIVATE: CLRq NUMERIC "0"
154
-- Retrieval info: PRIVATE: CLRrdaddress NUMERIC "0"
155
-- Retrieval info: PRIVATE: CLRrren NUMERIC "0"
156
-- Retrieval info: PRIVATE: CLRwraddress NUMERIC "0"
157
-- Retrieval info: PRIVATE: CLRwren NUMERIC "0"
158
-- Retrieval info: PRIVATE: Clock NUMERIC "1"
159
-- Retrieval info: PRIVATE: Clock_A NUMERIC "0"
160
-- Retrieval info: PRIVATE: Clock_B NUMERIC "0"
161
-- Retrieval info: PRIVATE: ECC NUMERIC "0"
162
-- Retrieval info: PRIVATE: IMPLEMENT_IN_LES NUMERIC "0"
163
-- Retrieval info: PRIVATE: INDATA_ACLR_B NUMERIC "0"
164
-- Retrieval info: PRIVATE: INDATA_REG_B NUMERIC "0"
165
-- Retrieval info: PRIVATE: INIT_FILE_LAYOUT STRING "PORT_B"
166
-- Retrieval info: PRIVATE: INIT_TO_SIM_X NUMERIC "0"
167
-- Retrieval info: PRIVATE: INTENDED_DEVICE_FAMILY STRING "Cyclone II"
168
-- Retrieval info: PRIVATE: JTAG_ENABLED NUMERIC "0"
169
-- Retrieval info: PRIVATE: JTAG_ID STRING "NONE"
170
-- Retrieval info: PRIVATE: MAXIMUM_DEPTH NUMERIC "0"
171
-- Retrieval info: PRIVATE: MEMSIZE NUMERIC "65536"
172
-- Retrieval info: PRIVATE: MEM_IN_BITS NUMERIC "0"
173
-- Retrieval info: PRIVATE: MIFfilename STRING ""
174
-- Retrieval info: PRIVATE: OPERATION_MODE NUMERIC "2"
175
-- Retrieval info: PRIVATE: OUTDATA_ACLR_B NUMERIC "0"
176
-- Retrieval info: PRIVATE: OUTDATA_REG_B NUMERIC "0"
177
-- Retrieval info: PRIVATE: RAM_BLOCK_TYPE NUMERIC "0"
178
-- Retrieval info: PRIVATE: READ_DURING_WRITE_MODE_MIXED_PORTS NUMERIC "2"
179
-- Retrieval info: PRIVATE: READ_DURING_WRITE_MODE_PORT_A NUMERIC "3"
180
-- Retrieval info: PRIVATE: READ_DURING_WRITE_MODE_PORT_B NUMERIC "3"
181
-- Retrieval info: PRIVATE: REGdata NUMERIC "1"
182
-- Retrieval info: PRIVATE: REGq NUMERIC "1"
183
-- Retrieval info: PRIVATE: REGrdaddress NUMERIC "1"
184
-- Retrieval info: PRIVATE: REGrren NUMERIC "1"
185
-- Retrieval info: PRIVATE: REGwraddress NUMERIC "1"
186
-- Retrieval info: PRIVATE: REGwren NUMERIC "1"
187
-- Retrieval info: PRIVATE: SYNTH_WRAPPER_GEN_POSTFIX STRING "0"
188
-- Retrieval info: PRIVATE: USE_DIFF_CLKEN NUMERIC "0"
189
-- Retrieval info: PRIVATE: UseDPRAM NUMERIC "1"
190
-- Retrieval info: PRIVATE: VarWidth NUMERIC "0"
191
-- Retrieval info: PRIVATE: WIDTH_READ_A NUMERIC "8"
192
-- Retrieval info: PRIVATE: WIDTH_READ_B NUMERIC "8"
193
-- Retrieval info: PRIVATE: WIDTH_WRITE_A NUMERIC "8"
194
-- Retrieval info: PRIVATE: WIDTH_WRITE_B NUMERIC "8"
195
-- Retrieval info: PRIVATE: WRADDR_ACLR_B NUMERIC "0"
196
-- Retrieval info: PRIVATE: WRADDR_REG_B NUMERIC "0"
197
-- Retrieval info: PRIVATE: WRCTRL_ACLR_B NUMERIC "0"
198
-- Retrieval info: PRIVATE: enable NUMERIC "0"
199
-- Retrieval info: PRIVATE: rden NUMERIC "1"
200
-- Retrieval info: CONSTANT: ADDRESS_REG_B STRING "CLOCK1"
201
-- Retrieval info: CONSTANT: CLOCK_ENABLE_INPUT_A STRING "BYPASS"
202
-- Retrieval info: CONSTANT: CLOCK_ENABLE_INPUT_B STRING "BYPASS"
203
-- Retrieval info: CONSTANT: CLOCK_ENABLE_OUTPUT_A STRING "BYPASS"
204
-- Retrieval info: CONSTANT: CLOCK_ENABLE_OUTPUT_B STRING "BYPASS"
205
-- Retrieval info: CONSTANT: INTENDED_DEVICE_FAMILY STRING "Cyclone II"
206
-- Retrieval info: CONSTANT: LPM_TYPE STRING "altsyncram"
207
-- Retrieval info: CONSTANT: NUMWORDS_A NUMERIC "8192"
208
-- Retrieval info: CONSTANT: NUMWORDS_B NUMERIC "8192"
209
-- Retrieval info: CONSTANT: OPERATION_MODE STRING "DUAL_PORT"
210
-- Retrieval info: CONSTANT: OUTDATA_ACLR_B STRING "NONE"
211
-- Retrieval info: CONSTANT: OUTDATA_REG_B STRING "UNREGISTERED"
212
-- Retrieval info: CONSTANT: POWER_UP_UNINITIALIZED STRING "FALSE"
213
-- Retrieval info: CONSTANT: RDCONTROL_REG_B STRING "CLOCK1"
214
-- Retrieval info: CONSTANT: WIDTHAD_A NUMERIC "13"
215
-- Retrieval info: CONSTANT: WIDTHAD_B NUMERIC "13"
216
-- Retrieval info: CONSTANT: WIDTH_A NUMERIC "8"
217
-- Retrieval info: CONSTANT: WIDTH_B NUMERIC "8"
218
-- Retrieval info: CONSTANT: WIDTH_BYTEENA_A NUMERIC "1"
219
-- Retrieval info: USED_PORT: data 0 0 8 0 INPUT NODEFVAL data[7..0]
220
-- Retrieval info: USED_PORT: q 0 0 8 0 OUTPUT NODEFVAL q[7..0]
221
-- Retrieval info: USED_PORT: rdaddress 0 0 13 0 INPUT NODEFVAL rdaddress[12..0]
222
-- Retrieval info: USED_PORT: rdclock 0 0 0 0 INPUT NODEFVAL rdclock
223
-- Retrieval info: USED_PORT: rden 0 0 0 0 INPUT VCC rden
224
-- Retrieval info: USED_PORT: wraddress 0 0 13 0 INPUT NODEFVAL wraddress[12..0]
225
-- Retrieval info: USED_PORT: wrclock 0 0 0 0 INPUT NODEFVAL wrclock
226
-- Retrieval info: USED_PORT: wren 0 0 0 0 INPUT VCC wren
227
-- Retrieval info: CONNECT: @data_a 0 0 8 0 data 0 0 8 0
228
-- Retrieval info: CONNECT: @wren_a 0 0 0 0 wren 0 0 0 0
229
-- Retrieval info: CONNECT: q 0 0 8 0 @q_b 0 0 8 0
230
-- Retrieval info: CONNECT: @address_a 0 0 13 0 wraddress 0 0 13 0
231
-- Retrieval info: CONNECT: @address_b 0 0 13 0 rdaddress 0 0 13 0
232
-- Retrieval info: CONNECT: @rden_b 0 0 0 0 rden 0 0 0 0
233
-- Retrieval info: CONNECT: @clock0 0 0 0 0 wrclock 0 0 0 0
234
-- Retrieval info: CONNECT: @clock1 0 0 0 0 rdclock 0 0 0 0
235
-- Retrieval info: LIBRARY: altera_mf altera_mf.altera_mf_components.all
236
-- Retrieval info: GEN_FILE: TYPE_NORMAL vram8k.vhd TRUE
237
-- Retrieval info: GEN_FILE: TYPE_NORMAL vram8k.inc FALSE
238
-- Retrieval info: GEN_FILE: TYPE_NORMAL vram8k.cmp TRUE
239
-- Retrieval info: GEN_FILE: TYPE_NORMAL vram8k.bsf TRUE
240
-- Retrieval info: GEN_FILE: TYPE_NORMAL vram8k_inst.vhd TRUE
241
-- Retrieval info: GEN_FILE: TYPE_NORMAL vram8k_waveforms.html TRUE
242
-- Retrieval info: GEN_FILE: TYPE_NORMAL vram8k_wave*.jpg FALSE
243
-- Retrieval info: LIB_FILE: altera_mf

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.