OpenCores
URL https://opencores.org/ocsvn/z80soc/z80soc/trunk

Subversion Repositories z80soc

[/] [z80soc/] [tags/] [z80soc05c/] [S3E/] [rom.vhd] - Blame information for rev 31

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 11 rrred
library IEEE;
2
use IEEE.std_logic_1164.all;
3
use ieee.numeric_std.all;
4
 
5
entity rom is
6
        port(
7
                clk             : in std_logic;
8
                addr            : in std_logic_vector(12 downto 0);
9
                dout            : out std_logic_vector(7 downto 0)
10
        );
11
end rom;
12
 
13
architecture rtl of rom is
14
begin
15
 
16
process (clk)
17
begin
18
 if clk'event and clk = '1' then
19
        case addr is
20
             when "0000000000000" => dout <= x"3E";
21
             when "0000000000001" => dout <= x"5A";
22
             when "0000000000010" => dout <= x"32";
23
             when "0000000000011" => dout <= x"10";
24
             when "0000000000100" => dout <= x"20";
25
             when "0000000000101" => dout <= x"32";
26
             when "0000000000110" => dout <= x"E0";
27
             when "0000000000111" => dout <= x"3F";
28
             when "0000000001000" => dout <= x"3E";
29
             when "0000000001001" => dout <= x"38";
30
             when "0000000001010" => dout <= x"32";
31
             when "0000000001011" => dout <= x"11";
32
             when "0000000001100" => dout <= x"20";
33
             when "0000000001101" => dout <= x"32";
34
             when "0000000001110" => dout <= x"E1";
35
             when "0000000001111" => dout <= x"3F";
36
             when "0000000010000" => dout <= x"3E";
37
             when "0000000010001" => dout <= x"30";
38
             when "0000000010010" => dout <= x"32";
39
             when "0000000010011" => dout <= x"12";
40
             when "0000000010100" => dout <= x"20";
41
             when "0000000010101" => dout <= x"32";
42
             when "0000000010110" => dout <= x"E2";
43
             when "0000000010111" => dout <= x"3F";
44
             when "0000000011000" => dout <= x"3E";
45
             when "0000000011001" => dout <= x"20";
46
             when "0000000011010" => dout <= x"32";
47
             when "0000000011011" => dout <= x"13";
48
             when "0000000011100" => dout <= x"20";
49
             when "0000000011101" => dout <= x"32";
50
             when "0000000011110" => dout <= x"E3";
51
             when "0000000011111" => dout <= x"3F";
52
             when "0000000100000" => dout <= x"3E";
53
             when "0000000100001" => dout <= x"53";
54
             when "0000000100010" => dout <= x"32";
55
             when "0000000100011" => dout <= x"14";
56
             when "0000000100100" => dout <= x"20";
57
             when "0000000100101" => dout <= x"32";
58
             when "0000000100110" => dout <= x"E4";
59
             when "0000000100111" => dout <= x"3F";
60
             when "0000000101000" => dout <= x"3E";
61
             when "0000000101001" => dout <= x"6F";
62
             when "0000000101010" => dout <= x"32";
63
             when "0000000101011" => dout <= x"15";
64
             when "0000000101100" => dout <= x"20";
65
             when "0000000101101" => dout <= x"32";
66
             when "0000000101110" => dout <= x"E5";
67
             when "0000000101111" => dout <= x"3F";
68
             when "0000000110000" => dout <= x"3E";
69
             when "0000000110001" => dout <= x"43";
70
             when "0000000110010" => dout <= x"32";
71
             when "0000000110011" => dout <= x"16";
72
             when "0000000110100" => dout <= x"20";
73
             when "0000000110101" => dout <= x"32";
74
             when "0000000110110" => dout <= x"E6";
75
             when "0000000110111" => dout <= x"3F";
76
             when "0000000111000" => dout <= x"DB";
77
             when "0000000111001" => dout <= x"30";
78
             when "0000000111010" => dout <= x"D3";
79
             when "0000000111011" => dout <= x"01";
80
             when "0000000111100" => dout <= x"FE";
81
             when "0000000111101" => dout <= x"01";
82
             when "0000000111110" => dout <= x"20";
83
             when "0000000111111" => dout <= x"F8";
84
             when "0000001000000" => dout <= x"DB";
85
             when "0000001000001" => dout <= x"30";
86
             when "0000001000010" => dout <= x"FE";
87
             when "0000001000011" => dout <= x"01";
88
             when "0000001000100" => dout <= x"28";
89
             when "0000001000101" => dout <= x"FA";
90
             when "0000001000110" => dout <= x"DB";
91
             when "0000001000111" => dout <= x"20";
92
             when "0000001001000" => dout <= x"D3";
93
             when "0000001001001" => dout <= x"01";
94
             when "0000001001010" => dout <= x"26";
95
             when "0000001001011" => dout <= x"3F";
96
             when "0000001001100" => dout <= x"2E";
97
             when "0000001001101" => dout <= x"F0";
98
             when "0000001001110" => dout <= x"DB";
99
             when "0000001001111" => dout <= x"80";
100
             when "0000001010000" => dout <= x"B7";
101
             when "0000001010001" => dout <= x"28";
102
             when "0000001010010" => dout <= x"FB";
103
             when "0000001010011" => dout <= x"77";
104
             when "0000001010100" => dout <= x"2C";
105
             when "0000001010101" => dout <= x"7D";
106
             when "0000001010110" => dout <= x"FE";
107
             when "0000001010111" => dout <= x"00";
108
             when "0000001011000" => dout <= x"20";
109
             when "0000001011001" => dout <= x"F4";
110
             when "0000001011010" => dout <= x"18";
111
             when "0000001011011" => dout <= x"EA";
112
             when others => dout <= x"00";
113
        end case;
114
 end if;
115
end process;
116
end;

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.