OpenCores
URL https://opencores.org/ocsvn/z80soc/z80soc/trunk

Subversion Repositories z80soc

[/] [z80soc/] [trunk/] [V0.7.1a/] [rom/] [rom.vhd.bak] - Blame information for rev 42

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 41 rrred
-- File generated by hex2romvhdl.sh
2
-- by Ronivon C. Costa - ronivon.costa@gmail.com
3
-- Wed Nov 17 00:38:01 WET 2010
4
--
5
library IEEE;
6
use IEEE.std_logic_1164.all;
7
use ieee.numeric_std.all;
8
Library XilinxCoreLib;
9
 
10
entity rom is
11
        port(
12
                Clk             : in std_logic;
13
                A               : in std_logic_vector(15 downto 0);
14
                D               : out std_logic_vector(7 downto 0)
15
        );
16
end rom;
17
 
18
architecture rtl of rom is
19
begin
20
 
21
process (Clk)
22
begin
23
 if Clk'event and Clk = '1' then
24
        case A is
25
             when x"0000" => D <= x"21";
26
             when x"0001" => D <= x"00";
27
             when x"0002" => D <= x"60";
28
             when x"0003" => D <= x"3E";
29
             when x"0004" => D <= x"AA";
30
             when x"0005" => D <= x"23";
31
             when x"0006" => D <= x"77";
32
             when x"0007" => D <= x"BE";
33
             when x"0008" => D <= x"28";
34
             when x"0009" => D <= x"FB";
35
             when x"000A" => D <= x"2B";
36
             when x"000B" => D <= x"F9";
37
             when x"000C" => D <= x"CD";
38
             when x"000D" => D <= x"DA";
39
             when x"000E" => D <= x"16";
40
             when x"000F" => D <= x"CD";
41
             when x"0010" => D <= x"BB";
42
             when x"0011" => D <= x"08";
43
             when x"0012" => D <= x"C3";
44
             when x"0013" => D <= x"01";
45
             when x"0014" => D <= x"02";
46
             when x"0200" => D <= x"C9";
47
             when x"0201" => D <= x"C9";
48
             when x"0202" => D <= x"21";
49
             when x"0203" => D <= x"00";
50
             when x"0204" => D <= x"00";
51
             when x"0205" => D <= x"E5";
52
             when x"0206" => D <= x"2E";
53
             when x"0207" => D <= x"00";
54
             when x"0208" => D <= x"E5";
55
             when x"0209" => D <= x"CD";
56
             when x"020A" => D <= x"80";
57
             when x"020B" => D <= x"0A";
58
             when x"020C" => D <= x"F1";
59
             when x"020D" => D <= x"F1";
60
             when x"020E" => D <= x"01";
61
             when x"020F" => D <= x"00";
62
             when x"0210" => D <= x"00";
63
             when x"0211" => D <= x"79";
64
             when x"0212" => D <= x"D6";
65
             when x"0213" => D <= x"3C";
66
             when x"0214" => D <= x"78";
67
             when x"0215" => D <= x"DE";
68
             when x"0216" => D <= x"00";
69
             when x"0217" => D <= x"E2";
70
             when x"0218" => D <= x"1C";
71
             when x"0219" => D <= x"02";
72
             when x"021A" => D <= x"EE";
73
             when x"021B" => D <= x"80";
74
             when x"021C" => D <= x"F0";
75
             when x"021D" => D <= x"C5";
76
             when x"021E" => D <= x"21";
77
             when x"021F" => D <= x"2A";
78
             when x"0220" => D <= x"02";
79
             when x"0221" => D <= x"E5";
80
             when x"0222" => D <= x"CD";
81
             when x"0223" => D <= x"1A";
82
             when x"0224" => D <= x"0B";
83
             when x"0225" => D <= x"F1";
84
             when x"0226" => D <= x"C1";
85
             when x"0227" => D <= x"03";
86
             when x"0228" => D <= x"18";
87
             when x"0229" => D <= x"E7";
88
             when x"022A" => D <= x"20";
89
             when x"022B" => D <= x"20";
90
             when x"022C" => D <= x"20";
91
             when x"022D" => D <= x"20";
92
             when x"022E" => D <= x"20";
93
             when x"022F" => D <= x"20";
94
             when x"0230" => D <= x"20";
95
             when x"0231" => D <= x"20";
96
             when x"0232" => D <= x"20";
97
             when x"0233" => D <= x"20";
98
             when x"0234" => D <= x"20";
99
             when x"0235" => D <= x"20";
100
             when x"0236" => D <= x"20";
101
             when x"0237" => D <= x"20";
102
             when x"0238" => D <= x"20";
103
             when x"0239" => D <= x"20";
104
             when x"023A" => D <= x"20";
105
             when x"023B" => D <= x"20";
106
             when x"023C" => D <= x"20";
107
             when x"023D" => D <= x"20";
108
             when x"023E" => D <= x"20";
109
             when x"023F" => D <= x"20";
110
             when x"0240" => D <= x"20";
111
             when x"0241" => D <= x"20";
112
             when x"0242" => D <= x"20";
113
             when x"0243" => D <= x"20";
114
             when x"0244" => D <= x"20";
115
             when x"0245" => D <= x"20";
116
             when x"0246" => D <= x"20";
117
             when x"0247" => D <= x"20";
118
             when x"0248" => D <= x"20";
119
             when x"0249" => D <= x"20";
120
             when x"024A" => D <= x"20";
121
             when x"024B" => D <= x"20";
122
             when x"024C" => D <= x"20";
123
             when x"024D" => D <= x"20";
124
             when x"024E" => D <= x"20";
125
             when x"024F" => D <= x"20";
126
             when x"0250" => D <= x"20";
127
             when x"0251" => D <= x"20";
128
             when x"0252" => D <= x"20";
129
             when x"0253" => D <= x"20";
130
             when x"0254" => D <= x"20";
131
             when x"0255" => D <= x"20";
132
             when x"0256" => D <= x"20";
133
             when x"0257" => D <= x"20";
134
             when x"0258" => D <= x"20";
135
             when x"0259" => D <= x"20";
136
             when x"025A" => D <= x"20";
137
             when x"025B" => D <= x"20";
138
             when x"025C" => D <= x"20";
139
             when x"025D" => D <= x"20";
140
             when x"025E" => D <= x"20";
141
             when x"025F" => D <= x"20";
142
             when x"0260" => D <= x"20";
143
             when x"0261" => D <= x"20";
144
             when x"0262" => D <= x"20";
145
             when x"0263" => D <= x"20";
146
             when x"0264" => D <= x"20";
147
             when x"0265" => D <= x"20";
148
             when x"0266" => D <= x"20";
149
             when x"0267" => D <= x"20";
150
             when x"0268" => D <= x"20";
151
             when x"0269" => D <= x"20";
152
             when x"026A" => D <= x"20";
153
             when x"026B" => D <= x"20";
154
             when x"026C" => D <= x"20";
155
             when x"026D" => D <= x"20";
156
             when x"026E" => D <= x"20";
157
             when x"026F" => D <= x"20";
158
             when x"0270" => D <= x"20";
159
             when x"0271" => D <= x"20";
160
             when x"0272" => D <= x"20";
161
             when x"0273" => D <= x"20";
162
             when x"0274" => D <= x"20";
163
             when x"0275" => D <= x"20";
164
             when x"0276" => D <= x"20";
165
             when x"0277" => D <= x"20";
166
             when x"0278" => D <= x"20";
167
             when x"0279" => D <= x"20";
168
             when x"027A" => D <= x"00";
169
             when x"027B" => D <= x"21";
170
             when x"027C" => D <= x"00";
171
             when x"027D" => D <= x"00";
172
             when x"027E" => D <= x"E5";
173
             when x"027F" => D <= x"2E";
174
             when x"0280" => D <= x"00";
175
             when x"0281" => D <= x"E5";
176
             when x"0282" => D <= x"CD";
177
             when x"0283" => D <= x"80";
178
             when x"0284" => D <= x"0A";
179
             when x"0285" => D <= x"F1";
180
             when x"0286" => D <= x"F1";
181
             when x"0287" => D <= x"01";
182
             when x"0288" => D <= x"C0";
183
             when x"0289" => D <= x"12";
184
             when x"028A" => D <= x"C5";
185
             when x"028B" => D <= x"3E";
186
             when x"028C" => D <= x"20";
187
             when x"028D" => D <= x"F5";
188
             when x"028E" => D <= x"33";
189
             when x"028F" => D <= x"CD";
190
             when x"0290" => D <= x"99";
191
             when x"0291" => D <= x"0A";
192
             when x"0292" => D <= x"33";
193
             when x"0293" => D <= x"C1";
194
             when x"0294" => D <= x"0B";
195
             when x"0295" => D <= x"79";
196
             when x"0296" => D <= x"B0";
197
             when x"0297" => D <= x"20";
198
             when x"0298" => D <= x"F1";
199
             when x"0299" => D <= x"C9";
200
             when x"029A" => D <= x"DD";
201
             when x"029B" => D <= x"E5";
202
             when x"029C" => D <= x"DD";
203
             when x"029D" => D <= x"21";
204
             when x"029E" => D <= x"00";
205
             when x"029F" => D <= x"00";
206
             when x"02A0" => D <= x"DD";
207
             when x"02A1" => D <= x"39";
208
             when x"02A2" => D <= x"DD";
209
             when x"02A3" => D <= x"4E";
210
             when x"02A4" => D <= x"04";
211
             when x"02A5" => D <= x"DD";
212
             when x"02A6" => D <= x"46";
213
             when x"02A7" => D <= x"05";
214
             when x"02A8" => D <= x"3E";
215
             when x"02A9" => D <= x"00";
216
             when x"02AA" => D <= x"91";
217
             when x"02AB" => D <= x"3E";
218
             when x"02AC" => D <= x"00";
219
             when x"02AD" => D <= x"98";
220
             when x"02AE" => D <= x"E2";
221
             when x"02AF" => D <= x"B3";
222
             when x"02B0" => D <= x"02";
223
             when x"02B1" => D <= x"EE";
224
             when x"02B2" => D <= x"80";
225
             when x"02B3" => D <= x"F2";
226
             when x"02B4" => D <= x"D0";
227
             when x"02B5" => D <= x"02";
228
             when x"02B6" => D <= x"0B";
229
             when x"02B7" => D <= x"C5";
230
             when x"02B8" => D <= x"CD";
231
             when x"02B9" => D <= x"31";
232
             when x"02BA" => D <= x"0A";
233
             when x"02BB" => D <= x"C1";
234
             when x"02BC" => D <= x"29";
235
             when x"02BD" => D <= x"29";
236
             when x"02BE" => D <= x"EB";
237
             when x"02BF" => D <= x"3E";
238
             when x"02C0" => D <= x"00";
239
             when x"02C1" => D <= x"93";
240
             when x"02C2" => D <= x"3E";
241
             when x"02C3" => D <= x"00";
242
             when x"02C4" => D <= x"9A";
243
             when x"02C5" => D <= x"E2";
244
             when x"02C6" => D <= x"CA";
245
             when x"02C7" => D <= x"02";
246
             when x"02C8" => D <= x"EE";
247
             when x"02C9" => D <= x"80";
248
             when x"02CA" => D <= x"F2";
249
             when x"02CB" => D <= x"A8";
250
             when x"02CC" => D <= x"02";
251
             when x"02CD" => D <= x"1B";
252
             when x"02CE" => D <= x"18";
253
             when x"02CF" => D <= x"EF";
254
             when x"02D0" => D <= x"DD";
255
             when x"02D1" => D <= x"E1";
256
             when x"02D2" => D <= x"C9";
257
             when x"02D3" => D <= x"DD";
258
             when x"02D4" => D <= x"E5";
259
             when x"02D5" => D <= x"DD";
260
             when x"02D6" => D <= x"21";
261
             when x"02D7" => D <= x"00";
262
             when x"02D8" => D <= x"00";
263
             when x"02D9" => D <= x"DD";
264
             when x"02DA" => D <= x"39";
265
             when x"02DB" => D <= x"DD";
266
             when x"02DC" => D <= x"4E";
267
             when x"02DD" => D <= x"04";
268
             when x"02DE" => D <= x"DD";
269
             when x"02DF" => D <= x"46";
270
             when x"02E0" => D <= x"05";
271
             when x"02E1" => D <= x"79";
272
             when x"02E2" => D <= x"D6";
273
             when x"02E3" => D <= x"FF";
274
             when x"02E4" => D <= x"78";
275
             when x"02E5" => D <= x"DE";
276
             when x"02E6" => D <= x"00";
277
             when x"02E7" => D <= x"E2";
278
             when x"02E8" => D <= x"EC";
279
             when x"02E9" => D <= x"02";
280
             when x"02EA" => D <= x"EE";
281
             when x"02EB" => D <= x"80";
282
             when x"02EC" => D <= x"F2";
283
             when x"02ED" => D <= x"03";
284
             when x"02EE" => D <= x"03";
285
             when x"02EF" => D <= x"03";
286
             when x"02F0" => D <= x"C5";
287
             when x"02F1" => D <= x"21";
288
             when x"02F2" => D <= x"64";
289
             when x"02F3" => D <= x"00";
290
             when x"02F4" => D <= x"E5";
291
             when x"02F5" => D <= x"CD";
292
             when x"02F6" => D <= x"9A";
293
             when x"02F7" => D <= x"02";
294
             when x"02F8" => D <= x"F1";
295
             when x"02F9" => D <= x"C1";
296
             when x"02FA" => D <= x"C5";
297
             when x"02FB" => D <= x"C5";
298
             when x"02FC" => D <= x"CD";
299
             when x"02FD" => D <= x"0B";
300
             when x"02FE" => D <= x"0A";
301
             when x"02FF" => D <= x"F1";
302
             when x"0300" => D <= x"C1";
303
             when x"0301" => D <= x"18";
304
             when x"0302" => D <= x"DE";
305
             when x"0303" => D <= x"DD";
306
             when x"0304" => D <= x"E1";
307
             when x"0305" => D <= x"C9";
308
             when x"0306" => D <= x"DD";
309
             when x"0307" => D <= x"E5";
310
             when x"0308" => D <= x"DD";
311
             when x"0309" => D <= x"21";
312
             when x"030A" => D <= x"00";
313
             when x"030B" => D <= x"00";
314
             when x"030C" => D <= x"DD";
315
             when x"030D" => D <= x"39";
316
             when x"030E" => D <= x"21";
317
             when x"030F" => D <= x"CC";
318
             when x"0310" => D <= x"FF";
319
             when x"0311" => D <= x"39";
320
             when x"0312" => D <= x"F9";
321
             when x"0313" => D <= x"21";
322
             when x"0314" => D <= x"02";
323
             when x"0315" => D <= x"00";
324
             when x"0316" => D <= x"39";
325
             when x"0317" => D <= x"36";
326
             when x"0318" => D <= x"20";
327
             when x"0319" => D <= x"21";
328
             when x"031A" => D <= x"02";
329
             when x"031B" => D <= x"00";
330
             when x"031C" => D <= x"39";
331
             when x"031D" => D <= x"4D";
332
             when x"031E" => D <= x"44";
333
             when x"031F" => D <= x"59";
334
             when x"0320" => D <= x"50";
335
             when x"0321" => D <= x"13";
336
             when x"0322" => D <= x"3E";
337
             when x"0323" => D <= x"20";
338
             when x"0324" => D <= x"12";
339
             when x"0325" => D <= x"59";
340
             when x"0326" => D <= x"50";
341
             when x"0327" => D <= x"13";
342
             when x"0328" => D <= x"13";
343
             when x"0329" => D <= x"3E";
344
             when x"032A" => D <= x"20";
345
             when x"032B" => D <= x"12";
346
             when x"032C" => D <= x"21";
347
             when x"032D" => D <= x"03";
348
             when x"032E" => D <= x"00";
349
             when x"032F" => D <= x"09";
350
             when x"0330" => D <= x"EB";
351
             when x"0331" => D <= x"3E";
352
             when x"0332" => D <= x"20";
353
             when x"0333" => D <= x"12";
354
             when x"0334" => D <= x"21";
355
             when x"0335" => D <= x"04";
356
             when x"0336" => D <= x"00";
357
             when x"0337" => D <= x"09";
358
             when x"0338" => D <= x"EB";
359
             when x"0339" => D <= x"3E";
360
             when x"033A" => D <= x"20";
361
             when x"033B" => D <= x"12";
362
             when x"033C" => D <= x"21";
363
             when x"033D" => D <= x"05";
364
             when x"033E" => D <= x"00";
365
             when x"033F" => D <= x"09";
366
             when x"0340" => D <= x"EB";
367
             when x"0341" => D <= x"3E";
368
             when x"0342" => D <= x"58";
369
             when x"0343" => D <= x"12";
370
             when x"0344" => D <= x"21";
371
             when x"0345" => D <= x"06";
372
             when x"0346" => D <= x"00";
373
             when x"0347" => D <= x"09";
374
             when x"0348" => D <= x"36";
375
             when x"0349" => D <= x"00";
376
             when x"034A" => D <= x"21";
377
             when x"034B" => D <= x"02";
378
             when x"034C" => D <= x"00";
379
             when x"034D" => D <= x"39";
380
             when x"034E" => D <= x"4D";
381
             when x"034F" => D <= x"44";
382
             when x"0350" => D <= x"21";
383
             when x"0351" => D <= x"0C";
384
             when x"0352" => D <= x"00";
385
             when x"0353" => D <= x"09";
386
             when x"0354" => D <= x"EB";
387
             when x"0355" => D <= x"3E";
388
             when x"0356" => D <= x"20";
389
             when x"0357" => D <= x"12";
390
             when x"0358" => D <= x"21";
391
             when x"0359" => D <= x"0D";
392
             when x"035A" => D <= x"00";
393
             when x"035B" => D <= x"09";
394
             when x"035C" => D <= x"EB";
395
             when x"035D" => D <= x"3E";
396
             when x"035E" => D <= x"20";
397
             when x"035F" => D <= x"12";
398
             when x"0360" => D <= x"21";
399
             when x"0361" => D <= x"0E";
400
             when x"0362" => D <= x"00";
401
             when x"0363" => D <= x"09";
402
             when x"0364" => D <= x"EB";
403
             when x"0365" => D <= x"3E";
404
             when x"0366" => D <= x"20";
405
             when x"0367" => D <= x"12";
406
             when x"0368" => D <= x"21";
407
             when x"0369" => D <= x"0F";
408
             when x"036A" => D <= x"00";
409
             when x"036B" => D <= x"09";
410
             when x"036C" => D <= x"EB";
411
             when x"036D" => D <= x"3E";
412
             when x"036E" => D <= x"20";
413
             when x"036F" => D <= x"12";
414
             when x"0370" => D <= x"21";
415
             when x"0371" => D <= x"10";
416
             when x"0372" => D <= x"00";
417
             when x"0373" => D <= x"09";
418
             when x"0374" => D <= x"EB";
419
             when x"0375" => D <= x"3E";
420
             when x"0376" => D <= x"58";
421
             when x"0377" => D <= x"12";
422
             when x"0378" => D <= x"21";
423
             when x"0379" => D <= x"11";
424
             when x"037A" => D <= x"00";
425
             when x"037B" => D <= x"09";
426
             when x"037C" => D <= x"EB";
427
             when x"037D" => D <= x"3E";
428
             when x"037E" => D <= x"59";
429
             when x"037F" => D <= x"12";
430
             when x"0380" => D <= x"21";
431
             when x"0381" => D <= x"12";
432
             when x"0382" => D <= x"00";
433
             when x"0383" => D <= x"09";
434
             when x"0384" => D <= x"EB";
435
             when x"0385" => D <= x"3E";
436
             when x"0386" => D <= x"58";
437
             when x"0387" => D <= x"12";
438
             when x"0388" => D <= x"21";
439
             when x"0389" => D <= x"13";
440
             when x"038A" => D <= x"00";
441
             when x"038B" => D <= x"09";
442
             when x"038C" => D <= x"EB";
443
             when x"038D" => D <= x"3E";
444
             when x"038E" => D <= x"00";
445
             when x"038F" => D <= x"12";
446
             when x"0390" => D <= x"21";
447
             when x"0391" => D <= x"18";
448
             when x"0392" => D <= x"00";
449
             when x"0393" => D <= x"09";
450
             when x"0394" => D <= x"EB";
451
             when x"0395" => D <= x"3E";
452
             when x"0396" => D <= x"20";
453
             when x"0397" => D <= x"12";
454
             when x"0398" => D <= x"21";
455
             when x"0399" => D <= x"19";
456
             when x"039A" => D <= x"00";
457
             when x"039B" => D <= x"09";
458
             when x"039C" => D <= x"EB";
459
             when x"039D" => D <= x"3E";
460
             when x"039E" => D <= x"20";
461
             when x"039F" => D <= x"12";
462
             when x"03A0" => D <= x"21";
463
             when x"03A1" => D <= x"1A";
464
             when x"03A2" => D <= x"00";
465
             when x"03A3" => D <= x"09";
466
             when x"03A4" => D <= x"EB";
467
             when x"03A5" => D <= x"3E";
468
             when x"03A6" => D <= x"58";
469
             when x"03A7" => D <= x"12";
470
             when x"03A8" => D <= x"21";
471
             when x"03A9" => D <= x"1B";
472
             when x"03AA" => D <= x"00";
473
             when x"03AB" => D <= x"09";
474
             when x"03AC" => D <= x"EB";
475
             when x"03AD" => D <= x"3E";
476
             when x"03AE" => D <= x"59";
477
             when x"03AF" => D <= x"12";
478
             when x"03B0" => D <= x"21";
479
             when x"03B1" => D <= x"1C";
480
             when x"03B2" => D <= x"00";
481
             when x"03B3" => D <= x"09";
482
             when x"03B4" => D <= x"EB";
483
             when x"03B5" => D <= x"3E";
484
             when x"03B6" => D <= x"59";
485
             when x"03B7" => D <= x"12";
486
             when x"03B8" => D <= x"21";
487
             when x"03B9" => D <= x"1D";
488
             when x"03BA" => D <= x"00";
489
             when x"03BB" => D <= x"09";
490
             when x"03BC" => D <= x"EB";
491
             when x"03BD" => D <= x"3E";
492
             when x"03BE" => D <= x"59";
493
             when x"03BF" => D <= x"12";
494
             when x"03C0" => D <= x"21";
495
             when x"03C1" => D <= x"1E";
496
             when x"03C2" => D <= x"00";
497
             when x"03C3" => D <= x"09";
498
             when x"03C4" => D <= x"EB";
499
             when x"03C5" => D <= x"3E";
500
             when x"03C6" => D <= x"59";
501
             when x"03C7" => D <= x"12";
502
             when x"03C8" => D <= x"21";
503
             when x"03C9" => D <= x"1F";
504
             when x"03CA" => D <= x"00";
505
             when x"03CB" => D <= x"09";
506
             when x"03CC" => D <= x"EB";
507
             when x"03CD" => D <= x"3E";
508
             when x"03CE" => D <= x"59";
509
             when x"03CF" => D <= x"12";
510
             when x"03D0" => D <= x"21";
511
             when x"03D1" => D <= x"20";
512
             when x"03D2" => D <= x"00";
513
             when x"03D3" => D <= x"09";
514
             when x"03D4" => D <= x"EB";
515
             when x"03D5" => D <= x"3E";
516
             when x"03D6" => D <= x"58";
517
             when x"03D7" => D <= x"12";
518
             when x"03D8" => D <= x"21";
519
             when x"03D9" => D <= x"21";
520
             when x"03DA" => D <= x"00";
521
             when x"03DB" => D <= x"09";
522
             when x"03DC" => D <= x"EB";
523
             when x"03DD" => D <= x"3E";
524
             when x"03DE" => D <= x"00";
525
             when x"03DF" => D <= x"12";
526
             when x"03E0" => D <= x"21";
527
             when x"03E1" => D <= x"24";
528
             when x"03E2" => D <= x"00";
529
             when x"03E3" => D <= x"09";
530
             when x"03E4" => D <= x"EB";
531
             when x"03E5" => D <= x"3E";
532
             when x"03E6" => D <= x"58";
533
             when x"03E7" => D <= x"12";
534
             when x"03E8" => D <= x"21";
535
             when x"03E9" => D <= x"25";
536
             when x"03EA" => D <= x"00";
537
             when x"03EB" => D <= x"09";
538
             when x"03EC" => D <= x"EB";
539
             when x"03ED" => D <= x"3E";
540
             when x"03EE" => D <= x"58";
541
             when x"03EF" => D <= x"12";
542
             when x"03F0" => D <= x"21";
543
             when x"03F1" => D <= x"26";
544
             when x"03F2" => D <= x"00";
545
             when x"03F3" => D <= x"09";
546
             when x"03F4" => D <= x"EB";
547
             when x"03F5" => D <= x"3E";
548
             when x"03F6" => D <= x"58";
549
             when x"03F7" => D <= x"12";
550
             when x"03F8" => D <= x"21";
551
             when x"03F9" => D <= x"27";
552
             when x"03FA" => D <= x"00";
553
             when x"03FB" => D <= x"09";
554
             when x"03FC" => D <= x"EB";
555
             when x"03FD" => D <= x"3E";
556
             when x"03FE" => D <= x"3C";
557
             when x"03FF" => D <= x"12";
558
             when x"0400" => D <= x"21";
559
             when x"0401" => D <= x"28";
560
             when x"0402" => D <= x"00";
561
             when x"0403" => D <= x"09";
562
             when x"0404" => D <= x"EB";
563
             when x"0405" => D <= x"3E";
564
             when x"0406" => D <= x"2A";
565
             when x"0407" => D <= x"12";
566
             when x"0408" => D <= x"21";
567
             when x"0409" => D <= x"29";
568
             when x"040A" => D <= x"00";
569
             when x"040B" => D <= x"09";
570
             when x"040C" => D <= x"EB";
571
             when x"040D" => D <= x"3E";
572
             when x"040E" => D <= x"2A";
573
             when x"040F" => D <= x"12";
574
             when x"0410" => D <= x"21";
575
             when x"0411" => D <= x"2A";
576
             when x"0412" => D <= x"00";
577
             when x"0413" => D <= x"09";
578
             when x"0414" => D <= x"EB";
579
             when x"0415" => D <= x"3E";
580
             when x"0416" => D <= x"2A";
581
             when x"0417" => D <= x"12";
582
             when x"0418" => D <= x"21";
583
             when x"0419" => D <= x"2B";
584
             when x"041A" => D <= x"00";
585
             when x"041B" => D <= x"09";
586
             when x"041C" => D <= x"EB";
587
             when x"041D" => D <= x"3E";
588
             when x"041E" => D <= x"3E";
589
             when x"041F" => D <= x"12";
590
             when x"0420" => D <= x"21";
591
             when x"0421" => D <= x"2C";
592
             when x"0422" => D <= x"00";
593
             when x"0423" => D <= x"09";
594
             when x"0424" => D <= x"EB";
595
             when x"0425" => D <= x"3E";
596
             when x"0426" => D <= x"58";
597
             when x"0427" => D <= x"12";
598
             when x"0428" => D <= x"21";
599
             when x"0429" => D <= x"2D";
600
             when x"042A" => D <= x"00";
601
             when x"042B" => D <= x"09";
602
             when x"042C" => D <= x"EB";
603
             when x"042D" => D <= x"3E";
604
             when x"042E" => D <= x"58";
605
             when x"042F" => D <= x"12";
606
             when x"0430" => D <= x"21";
607
             when x"0431" => D <= x"2E";
608
             when x"0432" => D <= x"00";
609
             when x"0433" => D <= x"09";
610
             when x"0434" => D <= x"EB";
611
             when x"0435" => D <= x"3E";
612
             when x"0436" => D <= x"58";
613
             when x"0437" => D <= x"12";
614
             when x"0438" => D <= x"21";
615
             when x"0439" => D <= x"2F";
616
             when x"043A" => D <= x"00";
617
             when x"043B" => D <= x"09";
618
             when x"043C" => D <= x"EB";
619
             when x"043D" => D <= x"3E";
620
             when x"043E" => D <= x"00";
621
             when x"043F" => D <= x"12";
622
             when x"0440" => D <= x"DD";
623
             when x"0441" => D <= x"36";
624
             when x"0442" => D <= x"FE";
625
             when x"0443" => D <= x"00";
626
             when x"0444" => D <= x"DD";
627
             when x"0445" => D <= x"36";
628
             when x"0446" => D <= x"FF";
629
             when x"0447" => D <= x"00";
630
             when x"0448" => D <= x"DD";
631
             when x"0449" => D <= x"36";
632
             when x"044A" => D <= x"CC";
633
             when x"044B" => D <= x"00";
634
             when x"044C" => D <= x"DD";
635
             when x"044D" => D <= x"36";
636
             when x"044E" => D <= x"CD";
637
             when x"044F" => D <= x"00";
638
             when x"0450" => D <= x"DD";
639
             when x"0451" => D <= x"7E";
640
             when x"0452" => D <= x"FE";
641
             when x"0453" => D <= x"D6";
642
             when x"0454" => D <= x"04";
643
             when x"0455" => D <= x"DD";
644
             when x"0456" => D <= x"7E";
645
             when x"0457" => D <= x"FF";
646
             when x"0458" => D <= x"DE";
647
             when x"0459" => D <= x"00";
648
             when x"045A" => D <= x"E2";
649
             when x"045B" => D <= x"5F";
650
             when x"045C" => D <= x"04";
651
             when x"045D" => D <= x"EE";
652
             when x"045E" => D <= x"80";
653
             when x"045F" => D <= x"F2";
654
             when x"0460" => D <= x"A8";
655
             when x"0461" => D <= x"04";
656
             when x"0462" => D <= x"DD";
657
             when x"0463" => D <= x"7E";
658
             when x"0464" => D <= x"FE";
659
             when x"0465" => D <= x"C6";
660
             when x"0466" => D <= x"24";
661
             when x"0467" => D <= x"5F";
662
             when x"0468" => D <= x"DD";
663
             when x"0469" => D <= x"7E";
664
             when x"046A" => D <= x"FF";
665
             when x"046B" => D <= x"CE";
666
             when x"046C" => D <= x"00";
667
             when x"046D" => D <= x"57";
668
             when x"046E" => D <= x"C5";
669
             when x"046F" => D <= x"D5";
670
             when x"0470" => D <= x"DD";
671
             when x"0471" => D <= x"6E";
672
             when x"0472" => D <= x"04";
673
             when x"0473" => D <= x"DD";
674
             when x"0474" => D <= x"66";
675
             when x"0475" => D <= x"05";
676
             when x"0476" => D <= x"E5";
677
             when x"0477" => D <= x"CD";
678
             when x"0478" => D <= x"80";
679
             when x"0479" => D <= x"0A";
680
             when x"047A" => D <= x"F1";
681
             when x"047B" => D <= x"F1";
682
             when x"047C" => D <= x"C1";
683
             when x"047D" => D <= x"79";
684
             when x"047E" => D <= x"DD";
685
             when x"047F" => D <= x"86";
686
             when x"0480" => D <= x"CC";
687
             when x"0481" => D <= x"5F";
688
             when x"0482" => D <= x"78";
689
             when x"0483" => D <= x"DD";
690
             when x"0484" => D <= x"8E";
691
             when x"0485" => D <= x"CD";
692
             when x"0486" => D <= x"57";
693
             when x"0487" => D <= x"C5";
694
             when x"0488" => D <= x"D5";
695
             when x"0489" => D <= x"CD";
696
             when x"048A" => D <= x"1A";
697
             when x"048B" => D <= x"0B";
698
             when x"048C" => D <= x"F1";
699
             when x"048D" => D <= x"C1";
700
             when x"048E" => D <= x"DD";
701
             when x"048F" => D <= x"7E";
702
             when x"0490" => D <= x"CC";
703
             when x"0491" => D <= x"C6";
704
             when x"0492" => D <= x"0C";
705
             when x"0493" => D <= x"DD";
706
             when x"0494" => D <= x"77";
707
             when x"0495" => D <= x"CC";
708
             when x"0496" => D <= x"DD";
709
             when x"0497" => D <= x"7E";
710
             when x"0498" => D <= x"CD";
711
             when x"0499" => D <= x"CE";
712
             when x"049A" => D <= x"00";
713
             when x"049B" => D <= x"DD";
714
             when x"049C" => D <= x"77";
715
             when x"049D" => D <= x"CD";
716
             when x"049E" => D <= x"DD";
717
             when x"049F" => D <= x"34";
718
             when x"04A0" => D <= x"FE";
719
             when x"04A1" => D <= x"20";
720
             when x"04A2" => D <= x"AD";
721
             when x"04A3" => D <= x"DD";
722
             when x"04A4" => D <= x"34";
723
             when x"04A5" => D <= x"FF";
724
             when x"04A6" => D <= x"18";
725
             when x"04A7" => D <= x"A8";
726
             when x"04A8" => D <= x"DD";
727
             when x"04A9" => D <= x"F9";
728
             when x"04AA" => D <= x"DD";
729
             when x"04AB" => D <= x"E1";
730
             when x"04AC" => D <= x"C9";
731
             when x"04AD" => D <= x"21";
732
             when x"04AE" => D <= x"00";
733
             when x"04AF" => D <= x"00";
734
             when x"04B0" => D <= x"E5";
735
             when x"04B1" => D <= x"2E";
736
             when x"04B2" => D <= x"14";
737
             when x"04B3" => D <= x"E5";
738
             when x"04B4" => D <= x"CD";
739
             when x"04B5" => D <= x"80";
740
             when x"04B6" => D <= x"0A";
741
             when x"04B7" => D <= x"F1";
742
             when x"04B8" => D <= x"21";
743
             when x"04B9" => D <= x"C1";
744
             when x"04BA" => D <= x"04";
745
             when x"04BB" => D <= x"E3";
746
             when x"04BC" => D <= x"CD";
747
             when x"04BD" => D <= x"1A";
748
             when x"04BE" => D <= x"0B";
749
             when x"04BF" => D <= x"F1";
750
             when x"04C0" => D <= x"C9";
751
             when x"04C1" => D <= x"2A";
752
             when x"04C2" => D <= x"2A";
753
             when x"04C3" => D <= x"2A";
754
             when x"04C4" => D <= x"2A";
755
             when x"04C5" => D <= x"20";
756
             when x"04C6" => D <= x"5A";
757
             when x"04C7" => D <= x"38";
758
             when x"04C8" => D <= x"30";
759
             when x"04C9" => D <= x"53";
760
             when x"04CA" => D <= x"6F";
761
             when x"04CB" => D <= x"43";
762
             when x"04CC" => D <= x"20";
763
             when x"04CD" => D <= x"53";
764
             when x"04CE" => D <= x"70";
765
             when x"04CF" => D <= x"61";
766
             when x"04D0" => D <= x"63";
767
             when x"04D1" => D <= x"65";
768
             when x"04D2" => D <= x"20";
769
             when x"04D3" => D <= x"49";
770
             when x"04D4" => D <= x"6E";
771
             when x"04D5" => D <= x"76";
772
             when x"04D6" => D <= x"61";
773
             when x"04D7" => D <= x"64";
774
             when x"04D8" => D <= x"65";
775
             when x"04D9" => D <= x"72";
776
             when x"04DA" => D <= x"73";
777
             when x"04DB" => D <= x"20";
778
             when x"04DC" => D <= x"52";
779
             when x"04DD" => D <= x"65";
780
             when x"04DE" => D <= x"62";
781
             when x"04DF" => D <= x"75";
782
             when x"04E0" => D <= x"69";
783
             when x"04E1" => D <= x"6C";
784
             when x"04E2" => D <= x"64";
785
             when x"04E3" => D <= x"20";
786
             when x"04E4" => D <= x"2A";
787
             when x"04E5" => D <= x"2A";
788
             when x"04E6" => D <= x"2A";
789
             when x"04E7" => D <= x"2A";
790
             when x"04E8" => D <= x"00";
791
             when x"04E9" => D <= x"DD";
792
             when x"04EA" => D <= x"E5";
793
             when x"04EB" => D <= x"DD";
794
             when x"04EC" => D <= x"21";
795
             when x"04ED" => D <= x"00";
796
             when x"04EE" => D <= x"00";
797
             when x"04EF" => D <= x"DD";
798
             when x"04F0" => D <= x"39";
799
             when x"04F1" => D <= x"21";
800
             when x"04F2" => D <= x"F8";
801
             when x"04F3" => D <= x"FE";
802
             when x"04F4" => D <= x"39";
803
             when x"04F5" => D <= x"F9";
804
             when x"04F6" => D <= x"21";
805
             when x"04F7" => D <= x"09";
806
             when x"04F8" => D <= x"00";
807
             when x"04F9" => D <= x"39";
808
             when x"04FA" => D <= x"4D";
809
             when x"04FB" => D <= x"44";
810
             when x"04FC" => D <= x"DD";
811
             when x"04FD" => D <= x"6E";
812
             when x"04FE" => D <= x"04";
813
             when x"04FF" => D <= x"DD";
814
             when x"0500" => D <= x"66";
815
             when x"0501" => D <= x"05";
816
             when x"0502" => D <= x"E5";
817
             when x"0503" => D <= x"C5";
818
             when x"0504" => D <= x"CD";
819
             when x"0505" => D <= x"B9";
820
             when x"0506" => D <= x"0A";
821
             when x"0507" => D <= x"F1";
822
             when x"0508" => D <= x"F1";
823
             when x"0509" => D <= x"21";
824
             when x"050A" => D <= x"09";
825
             when x"050B" => D <= x"00";
826
             when x"050C" => D <= x"39";
827
             when x"050D" => D <= x"E5";
828
             when x"050E" => D <= x"CD";
829
             when x"050F" => D <= x"40";
830
             when x"0510" => D <= x"0B";
831
             when x"0511" => D <= x"F1";
832
             when x"0512" => D <= x"FD";
833
             when x"0513" => D <= x"21";
834
             when x"0514" => D <= x"06";
835
             when x"0515" => D <= x"00";
836
             when x"0516" => D <= x"FD";
837
             when x"0517" => D <= x"39";
838
             when x"0518" => D <= x"FD";
839
             when x"0519" => D <= x"75";
840
             when x"051A" => D <= x"00";
841
             when x"051B" => D <= x"FD";
842
             when x"051C" => D <= x"74";
843
             when x"051D" => D <= x"01";
844
             when x"051E" => D <= x"21";
845
             when x"051F" => D <= x"09";
846
             when x"0520" => D <= x"00";
847
             when x"0521" => D <= x"39";
848
             when x"0522" => D <= x"EB";
849
             when x"0523" => D <= x"1A";
850
             when x"0524" => D <= x"FD";
851
             when x"0525" => D <= x"21";
852
             when x"0526" => D <= x"08";
853
             when x"0527" => D <= x"00";
854
             when x"0528" => D <= x"FD";
855
             when x"0529" => D <= x"39";
856
             when x"052A" => D <= x"FD";
857
             when x"052B" => D <= x"77";
858
             when x"052C" => D <= x"00";
859
             when x"052D" => D <= x"21";
860
             when x"052E" => D <= x"02";
861
             when x"052F" => D <= x"00";
862
             when x"0530" => D <= x"39";
863
             when x"0531" => D <= x"FD";
864
             when x"0532" => D <= x"21";
865
             when x"0533" => D <= x"06";
866
             when x"0534" => D <= x"00";
867
             when x"0535" => D <= x"FD";
868
             when x"0536" => D <= x"39";
869
             when x"0537" => D <= x"FD";
870
             when x"0538" => D <= x"7E";
871
             when x"0539" => D <= x"00";
872
             when x"053A" => D <= x"C6";
873
             when x"053B" => D <= x"FF";
874
             when x"053C" => D <= x"77";
875
             when x"053D" => D <= x"FD";
876
             when x"053E" => D <= x"7E";
877
             when x"053F" => D <= x"01";
878
             when x"0540" => D <= x"CE";
879
             when x"0541" => D <= x"FF";
880
             when x"0542" => D <= x"23";
881
             when x"0543" => D <= x"77";
882
             when x"0544" => D <= x"FD";
883
             when x"0545" => D <= x"21";
884
             when x"0546" => D <= x"04";
885
             when x"0547" => D <= x"00";
886
             when x"0548" => D <= x"FD";
887
             when x"0549" => D <= x"39";
888
             when x"054A" => D <= x"FD";
889
             when x"054B" => D <= x"36";
890
             when x"054C" => D <= x"00";
891
             when x"054D" => D <= x"00";
892
             when x"054E" => D <= x"FD";
893
             when x"054F" => D <= x"36";
894
             when x"0550" => D <= x"01";
895
             when x"0551" => D <= x"00";
896
             when x"0552" => D <= x"21";
897
             when x"0553" => D <= x"02";
898
             when x"0554" => D <= x"00";
899
             when x"0555" => D <= x"39";
900
             when x"0556" => D <= x"FD";
901
             when x"0557" => D <= x"21";
902
             when x"0558" => D <= x"04";
903
             when x"0559" => D <= x"00";
904
             when x"055A" => D <= x"FD";
905
             when x"055B" => D <= x"39";
906
             when x"055C" => D <= x"FD";
907
             when x"055D" => D <= x"7E";
908
             when x"055E" => D <= x"00";
909
             when x"055F" => D <= x"96";
910
             when x"0560" => D <= x"FD";
911
             when x"0561" => D <= x"7E";
912
             when x"0562" => D <= x"01";
913
             when x"0563" => D <= x"23";
914
             when x"0564" => D <= x"9E";
915
             when x"0565" => D <= x"E2";
916
             when x"0566" => D <= x"6A";
917
             when x"0567" => D <= x"05";
918
             when x"0568" => D <= x"EE";
919
             when x"0569" => D <= x"80";
920
             when x"056A" => D <= x"F2";
921
             when x"056B" => D <= x"B2";
922
             when x"056C" => D <= x"05";
923
             when x"056D" => D <= x"21";
924
             when x"056E" => D <= x"04";
925
             when x"056F" => D <= x"00";
926
             when x"0570" => D <= x"39";
927
             when x"0571" => D <= x"FD";
928
             when x"0572" => D <= x"21";
929
             when x"0573" => D <= x"00";
930
             when x"0574" => D <= x"00";
931
             when x"0575" => D <= x"FD";
932
             when x"0576" => D <= x"39";
933
             when x"0577" => D <= x"7B";
934
             when x"0578" => D <= x"86";
935
             when x"0579" => D <= x"FD";
936
             when x"057A" => D <= x"77";
937
             when x"057B" => D <= x"00";
938
             when x"057C" => D <= x"7A";
939
             when x"057D" => D <= x"23";
940
             when x"057E" => D <= x"8E";
941
             when x"057F" => D <= x"FD";
942
             when x"0580" => D <= x"23";
943
             when x"0581" => D <= x"FD";
944
             when x"0582" => D <= x"77";
945
             when x"0583" => D <= x"00";
946
             when x"0584" => D <= x"FD";
947
             when x"0585" => D <= x"21";
948
             when x"0586" => D <= x"04";
949
             when x"0587" => D <= x"00";
950
             when x"0588" => D <= x"FD";
951
             when x"0589" => D <= x"39";
952
             when x"058A" => D <= x"FD";
953
             when x"058B" => D <= x"7E";
954
             when x"058C" => D <= x"00";
955
             when x"058D" => D <= x"3C";
956
             when x"058E" => D <= x"83";
957
             when x"058F" => D <= x"4F";
958
             when x"0590" => D <= x"7A";
959
             when x"0591" => D <= x"CE";
960
             when x"0592" => D <= x"00";
961
             when x"0593" => D <= x"47";
962
             when x"0594" => D <= x"0A";
963
             when x"0595" => D <= x"FD";
964
             when x"0596" => D <= x"21";
965
             when x"0597" => D <= x"00";
966
             when x"0598" => D <= x"00";
967
             when x"0599" => D <= x"FD";
968
             when x"059A" => D <= x"39";
969
             when x"059B" => D <= x"FD";
970
             when x"059C" => D <= x"6E";
971
             when x"059D" => D <= x"00";
972
             when x"059E" => D <= x"FD";
973
             when x"059F" => D <= x"66";
974
             when x"05A0" => D <= x"01";
975
             when x"05A1" => D <= x"77";
976
             when x"05A2" => D <= x"FD";
977
             when x"05A3" => D <= x"21";
978
             when x"05A4" => D <= x"04";
979
             when x"05A5" => D <= x"00";
980
             when x"05A6" => D <= x"FD";
981
             when x"05A7" => D <= x"39";
982
             when x"05A8" => D <= x"FD";
983
             when x"05A9" => D <= x"34";
984
             when x"05AA" => D <= x"00";
985
             when x"05AB" => D <= x"20";
986
             when x"05AC" => D <= x"A5";
987
             when x"05AD" => D <= x"FD";
988
             when x"05AE" => D <= x"34";
989
             when x"05AF" => D <= x"01";
990
             when x"05B0" => D <= x"18";
991
             when x"05B1" => D <= x"A0";
992
             when x"05B2" => D <= x"FD";
993
             when x"05B3" => D <= x"21";
994
             when x"05B4" => D <= x"06";
995
             when x"05B5" => D <= x"00";
996
             when x"05B6" => D <= x"FD";
997
             when x"05B7" => D <= x"39";
998
             when x"05B8" => D <= x"FD";
999
             when x"05B9" => D <= x"7E";
1000
             when x"05BA" => D <= x"00";
1001
             when x"05BB" => D <= x"3D";
1002
             when x"05BC" => D <= x"83";
1003
             when x"05BD" => D <= x"4F";
1004
             when x"05BE" => D <= x"7A";
1005
             when x"05BF" => D <= x"CE";
1006
             when x"05C0" => D <= x"00";
1007
             when x"05C1" => D <= x"47";
1008
             when x"05C2" => D <= x"FD";
1009
             when x"05C3" => D <= x"21";
1010
             when x"05C4" => D <= x"08";
1011
             when x"05C5" => D <= x"00";
1012
             when x"05C6" => D <= x"FD";
1013
             when x"05C7" => D <= x"39";
1014
             when x"05C8" => D <= x"FD";
1015
             when x"05C9" => D <= x"7E";
1016
             when x"05CA" => D <= x"00";
1017
             when x"05CB" => D <= x"02";
1018
             when x"05CC" => D <= x"21";
1019
             when x"05CD" => D <= x"06";
1020
             when x"05CE" => D <= x"00";
1021
             when x"05CF" => D <= x"39";
1022
             when x"05D0" => D <= x"7B";
1023
             when x"05D1" => D <= x"86";
1024
             when x"05D2" => D <= x"4F";
1025
             when x"05D3" => D <= x"7A";
1026
             when x"05D4" => D <= x"23";
1027
             when x"05D5" => D <= x"8E";
1028
             when x"05D6" => D <= x"47";
1029
             when x"05D7" => D <= x"3E";
1030
             when x"05D8" => D <= x"00";
1031
             when x"05D9" => D <= x"02";
1032
             when x"05DA" => D <= x"D5";
1033
             when x"05DB" => D <= x"DD";
1034
             when x"05DC" => D <= x"6E";
1035
             when x"05DD" => D <= x"04";
1036
             when x"05DE" => D <= x"DD";
1037
             when x"05DF" => D <= x"66";
1038
             when x"05E0" => D <= x"05";
1039
             when x"05E1" => D <= x"E5";
1040
             when x"05E2" => D <= x"CD";
1041
             when x"05E3" => D <= x"B9";
1042
             when x"05E4" => D <= x"0A";
1043
             when x"05E5" => D <= x"DD";
1044
             when x"05E6" => D <= x"F9";
1045
             when x"05E7" => D <= x"DD";
1046
             when x"05E8" => D <= x"E1";
1047
             when x"05E9" => D <= x"C9";
1048
             when x"05EA" => D <= x"CD";
1049
             when x"05EB" => D <= x"2B";
1050
             when x"05EC" => D <= x"0A";
1051
             when x"05ED" => D <= x"4D";
1052
             when x"05EE" => D <= x"44";
1053
             when x"05EF" => D <= x"C5";
1054
             when x"05F0" => D <= x"CD";
1055
             when x"05F1" => D <= x"2B";
1056
             when x"05F2" => D <= x"0A";
1057
             when x"05F3" => D <= x"C1";
1058
             when x"05F4" => D <= x"C5";
1059
             when x"05F5" => D <= x"7D";
1060
             when x"05F6" => D <= x"91";
1061
             when x"05F7" => D <= x"20";
1062
             when x"05F8" => D <= x"08";
1063
             when x"05F9" => D <= x"48";
1064
             when x"05FA" => D <= x"7C";
1065
             when x"05FB" => D <= x"91";
1066
             when x"05FC" => D <= x"20";
1067
             when x"05FD" => D <= x"03";
1068
             when x"05FE" => D <= x"C1";
1069
             when x"05FF" => D <= x"18";
1070
             when x"0600" => D <= x"03";
1071
             when x"0601" => D <= x"C1";
1072
             when x"0602" => D <= x"18";
1073
             when x"0603" => D <= x"0C";
1074
             when x"0604" => D <= x"C5";
1075
             when x"0605" => D <= x"21";
1076
             when x"0606" => D <= x"64";
1077
             when x"0607" => D <= x"00";
1078
             when x"0608" => D <= x"E5";
1079
             when x"0609" => D <= x"CD";
1080
             when x"060A" => D <= x"9A";
1081
             when x"060B" => D <= x"02";
1082
             when x"060C" => D <= x"F1";
1083
             when x"060D" => D <= x"C1";
1084
             when x"060E" => D <= x"18";
1085
             when x"060F" => D <= x"DF";
1086
             when x"0610" => D <= x"CD";
1087
             when x"0611" => D <= x"2B";
1088
             when x"0612" => D <= x"0A";
1089
             when x"0613" => D <= x"4D";
1090
             when x"0614" => D <= x"44";
1091
             when x"0615" => D <= x"C5";
1092
             when x"0616" => D <= x"CD";
1093
             when x"0617" => D <= x"2B";
1094
             when x"0618" => D <= x"0A";
1095
             when x"0619" => D <= x"C1";
1096
             when x"061A" => D <= x"C5";
1097
             when x"061B" => D <= x"7D";
1098
             when x"061C" => D <= x"91";
1099
             when x"061D" => D <= x"20";
1100
             when x"061E" => D <= x"08";
1101
             when x"061F" => D <= x"48";
1102
             when x"0620" => D <= x"7C";
1103
             when x"0621" => D <= x"91";
1104
             when x"0622" => D <= x"20";
1105
             when x"0623" => D <= x"03";
1106
             when x"0624" => D <= x"C1";
1107
             when x"0625" => D <= x"18";
1108
             when x"0626" => D <= x"02";
1109
             when x"0627" => D <= x"C1";
1110
             when x"0628" => D <= x"C9";
1111
             when x"0629" => D <= x"C5";
1112
             when x"062A" => D <= x"21";
1113
             when x"062B" => D <= x"64";
1114
             when x"062C" => D <= x"00";
1115
             when x"062D" => D <= x"E5";
1116
             when x"062E" => D <= x"CD";
1117
             when x"062F" => D <= x"9A";
1118
             when x"0630" => D <= x"02";
1119
             when x"0631" => D <= x"F1";
1120
             when x"0632" => D <= x"C1";
1121
             when x"0633" => D <= x"18";
1122
             when x"0634" => D <= x"E0";
1123
             when x"0635" => D <= x"DD";
1124
             when x"0636" => D <= x"E5";
1125
             when x"0637" => D <= x"DD";
1126
             when x"0638" => D <= x"21";
1127
             when x"0639" => D <= x"00";
1128
             when x"063A" => D <= x"00";
1129
             when x"063B" => D <= x"DD";
1130
             when x"063C" => D <= x"39";
1131
             when x"063D" => D <= x"F5";
1132
             when x"063E" => D <= x"DD";
1133
             when x"063F" => D <= x"6E";
1134
             when x"0640" => D <= x"04";
1135
             when x"0641" => D <= x"DD";
1136
             when x"0642" => D <= x"66";
1137
             when x"0643" => D <= x"05";
1138
             when x"0644" => D <= x"7D";
1139
             when x"0645" => D <= x"DD";
1140
             when x"0646" => D <= x"86";
1141
             when x"0647" => D <= x"06";
1142
             when x"0648" => D <= x"DD";
1143
             when x"0649" => D <= x"77";
1144
             when x"064A" => D <= x"FE";
1145
             when x"064B" => D <= x"7C";
1146
             when x"064C" => D <= x"DD";
1147
             when x"064D" => D <= x"8E";
1148
             when x"064E" => D <= x"07";
1149
             when x"064F" => D <= x"DD";
1150
             when x"0650" => D <= x"77";
1151
             when x"0651" => D <= x"FF";
1152
             when x"0652" => D <= x"EB";
1153
             when x"0653" => D <= x"7B";
1154
             when x"0654" => D <= x"DD";
1155
             when x"0655" => D <= x"96";
1156
             when x"0656" => D <= x"FE";
1157
             when x"0657" => D <= x"7A";
1158
             when x"0658" => D <= x"DD";
1159
             when x"0659" => D <= x"9E";
1160
             when x"065A" => D <= x"FF";
1161
             when x"065B" => D <= x"E2";
1162
             when x"065C" => D <= x"60";
1163
             when x"065D" => D <= x"06";
1164
             when x"065E" => D <= x"EE";
1165
             when x"065F" => D <= x"80";
1166
             when x"0660" => D <= x"F2";
1167
             when x"0661" => D <= x"93";
1168
             when x"0662" => D <= x"06";
1169
             when x"0663" => D <= x"D5";
1170
             when x"0664" => D <= x"D5";
1171
             when x"0665" => D <= x"CD";
1172
             when x"0666" => D <= x"6C";
1173
             when x"0667" => D <= x"0A";
1174
             when x"0668" => D <= x"F1";
1175
             when x"0669" => D <= x"D1";
1176
             when x"066A" => D <= x"4D";
1177
             when x"066B" => D <= x"C5";
1178
             when x"066C" => D <= x"D5";
1179
             when x"066D" => D <= x"3E";
1180
             when x"066E" => D <= x"41";
1181
             when x"066F" => D <= x"F5";
1182
             when x"0670" => D <= x"33";
1183
             when x"0671" => D <= x"D5";
1184
             when x"0672" => D <= x"CD";
1185
             when x"0673" => D <= x"57";
1186
             when x"0674" => D <= x"0A";
1187
             when x"0675" => D <= x"F1";
1188
             when x"0676" => D <= x"33";
1189
             when x"0677" => D <= x"D1";
1190
             when x"0678" => D <= x"D5";
1191
             when x"0679" => D <= x"D5";
1192
             when x"067A" => D <= x"CD";
1193
             when x"067B" => D <= x"6C";
1194
             when x"067C" => D <= x"0A";
1195
             when x"067D" => D <= x"F1";
1196
             when x"067E" => D <= x"D1";
1197
             when x"067F" => D <= x"C1";
1198
             when x"0680" => D <= x"7D";
1199
             when x"0681" => D <= x"D6";
1200
             when x"0682" => D <= x"41";
1201
             when x"0683" => D <= x"20";
1202
             when x"0684" => D <= x"0E";
1203
             when x"0685" => D <= x"D5";
1204
             when x"0686" => D <= x"79";
1205
             when x"0687" => D <= x"F5";
1206
             when x"0688" => D <= x"33";
1207
             when x"0689" => D <= x"D5";
1208
             when x"068A" => D <= x"CD";
1209
             when x"068B" => D <= x"57";
1210
             when x"068C" => D <= x"0A";
1211
             when x"068D" => D <= x"F1";
1212
             when x"068E" => D <= x"33";
1213
             when x"068F" => D <= x"D1";
1214
             when x"0690" => D <= x"13";
1215
             when x"0691" => D <= x"18";
1216
             when x"0692" => D <= x"C0";
1217
             when x"0693" => D <= x"D5";
1218
             when x"0694" => D <= x"21";
1219
             when x"0695" => D <= x"02";
1220
             when x"0696" => D <= x"00";
1221
             when x"0697" => D <= x"E5";
1222
             when x"0698" => D <= x"2E";
1223
             when x"0699" => D <= x"0A";
1224
             when x"069A" => D <= x"E5";
1225
             when x"069B" => D <= x"CD";
1226
             when x"069C" => D <= x"80";
1227
             when x"069D" => D <= x"0A";
1228
             when x"069E" => D <= x"F1";
1229
             when x"069F" => D <= x"F1";
1230
             when x"06A0" => D <= x"D1";
1231
             when x"06A1" => D <= x"4B";
1232
             when x"06A2" => D <= x"42";
1233
             when x"06A3" => D <= x"0B";
1234
             when x"06A4" => D <= x"C5";
1235
             when x"06A5" => D <= x"21";
1236
             when x"06A6" => D <= x"B6";
1237
             when x"06A7" => D <= x"06";
1238
             when x"06A8" => D <= x"E5";
1239
             when x"06A9" => D <= x"CD";
1240
             when x"06AA" => D <= x"1A";
1241
             when x"06AB" => D <= x"0B";
1242
             when x"06AC" => D <= x"F1";
1243
             when x"06AD" => D <= x"F1";
1244
             when x"06AE" => D <= x"CD";
1245
             when x"06AF" => D <= x"EA";
1246
             when x"06B0" => D <= x"05";
1247
             when x"06B1" => D <= x"DD";
1248
             when x"06B2" => D <= x"F9";
1249
             when x"06B3" => D <= x"DD";
1250
             when x"06B4" => D <= x"E1";
1251
             when x"06B5" => D <= x"C9";
1252
             when x"06B6" => D <= x"4C";
1253
             when x"06B7" => D <= x"61";
1254
             when x"06B8" => D <= x"73";
1255
             when x"06B9" => D <= x"74";
1256
             when x"06BA" => D <= x"20";
1257
             when x"06BB" => D <= x"77";
1258
             when x"06BC" => D <= x"72";
1259
             when x"06BD" => D <= x"69";
1260
             when x"06BE" => D <= x"74";
1261
             when x"06BF" => D <= x"61";
1262
             when x"06C0" => D <= x"62";
1263
             when x"06C1" => D <= x"6C";
1264
             when x"06C2" => D <= x"65";
1265
             when x"06C3" => D <= x"20";
1266
             when x"06C4" => D <= x"61";
1267
             when x"06C5" => D <= x"64";
1268
             when x"06C6" => D <= x"64";
1269
             when x"06C7" => D <= x"72";
1270
             when x"06C8" => D <= x"65";
1271
             when x"06C9" => D <= x"73";
1272
             when x"06CA" => D <= x"73";
1273
             when x"06CB" => D <= x"3A";
1274
             when x"06CC" => D <= x"20";
1275
             when x"06CD" => D <= x"25";
1276
             when x"06CE" => D <= x"75";
1277
             when x"06CF" => D <= x"00";
1278
             when x"06D0" => D <= x"21";
1279
             when x"06D1" => D <= x"00";
1280
             when x"06D2" => D <= x"00";
1281
             when x"06D3" => D <= x"E5";
1282
             when x"06D4" => D <= x"2E";
1283
             when x"06D5" => D <= x"00";
1284
             when x"06D6" => D <= x"E5";
1285
             when x"06D7" => D <= x"CD";
1286
             when x"06D8" => D <= x"80";
1287
             when x"06D9" => D <= x"0A";
1288
             when x"06DA" => D <= x"F1";
1289
             when x"06DB" => D <= x"21";
1290
             when x"06DC" => D <= x"56";
1291
             when x"06DD" => D <= x"07";
1292
             when x"06DE" => D <= x"E3";
1293
             when x"06DF" => D <= x"CD";
1294
             when x"06E0" => D <= x"1A";
1295
             when x"06E1" => D <= x"0B";
1296
             when x"06E2" => D <= x"21";
1297
             when x"06E3" => D <= x"C0";
1298
             when x"06E4" => D <= x"12";
1299
             when x"06E5" => D <= x"E3";
1300
             when x"06E6" => D <= x"21";
1301
             when x"06E7" => D <= x"00";
1302
             when x"06E8" => D <= x"40";
1303
             when x"06E9" => D <= x"E5";
1304
             when x"06EA" => D <= x"CD";
1305
             when x"06EB" => D <= x"35";
1306
             when x"06EC" => D <= x"06";
1307
             when x"06ED" => D <= x"F1";
1308
             when x"06EE" => D <= x"F1";
1309
             when x"06EF" => D <= x"CD";
1310
             when x"06F0" => D <= x"EA";
1311
             when x"06F1" => D <= x"05";
1312
             when x"06F2" => D <= x"21";
1313
             when x"06F3" => D <= x"00";
1314
             when x"06F4" => D <= x"00";
1315
             when x"06F5" => D <= x"E5";
1316
             when x"06F6" => D <= x"2E";
1317
             when x"06F7" => D <= x"00";
1318
             when x"06F8" => D <= x"E5";
1319
             when x"06F9" => D <= x"CD";
1320
             when x"06FA" => D <= x"80";
1321
             when x"06FB" => D <= x"0A";
1322
             when x"06FC" => D <= x"F1";
1323
             when x"06FD" => D <= x"21";
1324
             when x"06FE" => D <= x"6B";
1325
             when x"06FF" => D <= x"07";
1326
             when x"0700" => D <= x"E3";
1327
             when x"0701" => D <= x"CD";
1328
             when x"0702" => D <= x"1A";
1329
             when x"0703" => D <= x"0B";
1330
             when x"0704" => D <= x"21";
1331
             when x"0705" => D <= x"40";
1332
             when x"0706" => D <= x"05";
1333
             when x"0707" => D <= x"E3";
1334
             when x"0708" => D <= x"21";
1335
             when x"0709" => D <= x"C0";
1336
             when x"070A" => D <= x"52";
1337
             when x"070B" => D <= x"E5";
1338
             when x"070C" => D <= x"CD";
1339
             when x"070D" => D <= x"35";
1340
             when x"070E" => D <= x"06";
1341
             when x"070F" => D <= x"F1";
1342
             when x"0710" => D <= x"F1";
1343
             when x"0711" => D <= x"CD";
1344
             when x"0712" => D <= x"EA";
1345
             when x"0713" => D <= x"05";
1346
             when x"0714" => D <= x"21";
1347
             when x"0715" => D <= x"00";
1348
             when x"0716" => D <= x"00";
1349
             when x"0717" => D <= x"E5";
1350
             when x"0718" => D <= x"2E";
1351
             when x"0719" => D <= x"00";
1352
             when x"071A" => D <= x"E5";
1353
             when x"071B" => D <= x"CD";
1354
             when x"071C" => D <= x"80";
1355
             when x"071D" => D <= x"0A";
1356
             when x"071E" => D <= x"F1";
1357
             when x"071F" => D <= x"21";
1358
             when x"0720" => D <= x"84";
1359
             when x"0721" => D <= x"07";
1360
             when x"0722" => D <= x"E3";
1361
             when x"0723" => D <= x"CD";
1362
             when x"0724" => D <= x"1A";
1363
             when x"0725" => D <= x"0B";
1364
             when x"0726" => D <= x"F1";
1365
             when x"0727" => D <= x"21";
1366
             when x"0728" => D <= x"00";
1367
             when x"0729" => D <= x"08";
1368
             when x"072A" => D <= x"E5";
1369
             when x"072B" => D <= x"26";
1370
             when x"072C" => D <= x"58";
1371
             when x"072D" => D <= x"E5";
1372
             when x"072E" => D <= x"CD";
1373
             when x"072F" => D <= x"35";
1374
             when x"0730" => D <= x"06";
1375
             when x"0731" => D <= x"F1";
1376
             when x"0732" => D <= x"F1";
1377
             when x"0733" => D <= x"CD";
1378
             when x"0734" => D <= x"EA";
1379
             when x"0735" => D <= x"05";
1380
             when x"0736" => D <= x"21";
1381
             when x"0737" => D <= x"00";
1382
             when x"0738" => D <= x"00";
1383
             when x"0739" => D <= x"E5";
1384
             when x"073A" => D <= x"2E";
1385
             when x"073B" => D <= x"00";
1386
             when x"073C" => D <= x"E5";
1387
             when x"073D" => D <= x"CD";
1388
             when x"073E" => D <= x"80";
1389
             when x"073F" => D <= x"0A";
1390
             when x"0740" => D <= x"F1";
1391
             when x"0741" => D <= x"21";
1392
             when x"0742" => D <= x"9C";
1393
             when x"0743" => D <= x"07";
1394
             when x"0744" => D <= x"E3";
1395
             when x"0745" => D <= x"CD";
1396
             when x"0746" => D <= x"1A";
1397
             when x"0747" => D <= x"0B";
1398
             when x"0748" => D <= x"21";
1399
             when x"0749" => D <= x"DF";
1400
             when x"074A" => D <= x"9F";
1401
             when x"074B" => D <= x"E3";
1402
             when x"074C" => D <= x"21";
1403
             when x"074D" => D <= x"00";
1404
             when x"074E" => D <= x"60";
1405
             when x"074F" => D <= x"E5";
1406
             when x"0750" => D <= x"CD";
1407
             when x"0751" => D <= x"35";
1408
             when x"0752" => D <= x"06";
1409
             when x"0753" => D <= x"F1";
1410
             when x"0754" => D <= x"F1";
1411
             when x"0755" => D <= x"C9";
1412
             when x"0756" => D <= x"54";
1413
             when x"0757" => D <= x"65";
1414
             when x"0758" => D <= x"73";
1415
             when x"0759" => D <= x"74";
1416
             when x"075A" => D <= x"69";
1417
             when x"075B" => D <= x"6E";
1418
             when x"075C" => D <= x"67";
1419
             when x"075D" => D <= x"20";
1420
             when x"075E" => D <= x"56";
1421
             when x"075F" => D <= x"52";
1422
             when x"0760" => D <= x"41";
1423
             when x"0761" => D <= x"4D";
1424
             when x"0762" => D <= x"20";
1425
             when x"0763" => D <= x"61";
1426
             when x"0764" => D <= x"72";
1427
             when x"0765" => D <= x"65";
1428
             when x"0766" => D <= x"61";
1429
             when x"0767" => D <= x"2E";
1430
             when x"0768" => D <= x"2E";
1431
             when x"0769" => D <= x"2E";
1432
             when x"076A" => D <= x"00";
1433
             when x"076B" => D <= x"54";
1434
             when x"076C" => D <= x"65";
1435
             when x"076D" => D <= x"73";
1436
             when x"076E" => D <= x"74";
1437
             when x"076F" => D <= x"69";
1438
             when x"0770" => D <= x"6E";
1439
             when x"0771" => D <= x"67";
1440
             when x"0772" => D <= x"20";
1441
             when x"0773" => D <= x"56";
1442
             when x"0774" => D <= x"61";
1443
             when x"0775" => D <= x"72";
1444
             when x"0776" => D <= x"69";
1445
             when x"0777" => D <= x"61";
1446
             when x"0778" => D <= x"62";
1447
             when x"0779" => D <= x"6C";
1448
             when x"077A" => D <= x"65";
1449
             when x"077B" => D <= x"20";
1450
             when x"077C" => D <= x"61";
1451
             when x"077D" => D <= x"72";
1452
             when x"077E" => D <= x"65";
1453
             when x"077F" => D <= x"61";
1454
             when x"0780" => D <= x"2E";
1455
             when x"0781" => D <= x"2E";
1456
             when x"0782" => D <= x"2E";
1457
             when x"0783" => D <= x"00";
1458
             when x"0784" => D <= x"54";
1459
             when x"0785" => D <= x"65";
1460
             when x"0786" => D <= x"73";
1461
             when x"0787" => D <= x"74";
1462
             when x"0788" => D <= x"69";
1463
             when x"0789" => D <= x"6E";
1464
             when x"078A" => D <= x"67";
1465
             when x"078B" => D <= x"20";
1466
             when x"078C" => D <= x"63";
1467
             when x"078D" => D <= x"68";
1468
             when x"078E" => D <= x"61";
1469
             when x"078F" => D <= x"72";
1470
             when x"0790" => D <= x"72";
1471
             when x"0791" => D <= x"61";
1472
             when x"0792" => D <= x"6D";
1473
             when x"0793" => D <= x"20";
1474
             when x"0794" => D <= x"61";
1475
             when x"0795" => D <= x"72";
1476
             when x"0796" => D <= x"65";
1477
             when x"0797" => D <= x"61";
1478
             when x"0798" => D <= x"2E";
1479
             when x"0799" => D <= x"2E";
1480
             when x"079A" => D <= x"2E";
1481
             when x"079B" => D <= x"00";
1482
             when x"079C" => D <= x"54";
1483
             when x"079D" => D <= x"65";
1484
             when x"079E" => D <= x"73";
1485
             when x"079F" => D <= x"74";
1486
             when x"07A0" => D <= x"69";
1487
             when x"07A1" => D <= x"6E";
1488
             when x"07A2" => D <= x"67";
1489
             when x"07A3" => D <= x"20";
1490
             when x"07A4" => D <= x"52";
1491
             when x"07A5" => D <= x"41";
1492
             when x"07A6" => D <= x"4D";
1493
             when x"07A7" => D <= x"20";
1494
             when x"07A8" => D <= x"61";
1495
             when x"07A9" => D <= x"72";
1496
             when x"07AA" => D <= x"65";
1497
             when x"07AB" => D <= x"61";
1498
             when x"07AC" => D <= x"2E";
1499
             when x"07AD" => D <= x"2E";
1500
             when x"07AE" => D <= x"2E";
1501
             when x"07AF" => D <= x"00";
1502
             when x"07B0" => D <= x"21";
1503
             when x"07B1" => D <= x"00";
1504
             when x"07B2" => D <= x"60";
1505
             when x"07B3" => D <= x"E5";
1506
             when x"07B4" => D <= x"CD";
1507
             when x"07B5" => D <= x"6C";
1508
             when x"07B6" => D <= x"0A";
1509
             when x"07B7" => D <= x"F1";
1510
             when x"07B8" => D <= x"4D";
1511
             when x"07B9" => D <= x"C5";
1512
             when x"07BA" => D <= x"21";
1513
             when x"07BB" => D <= x"0C";
1514
             when x"07BC" => D <= x"00";
1515
             when x"07BD" => D <= x"E5";
1516
             when x"07BE" => D <= x"2E";
1517
             when x"07BF" => D <= x"00";
1518
             when x"07C0" => D <= x"E5";
1519
             when x"07C1" => D <= x"CD";
1520
             when x"07C2" => D <= x"80";
1521
             when x"07C3" => D <= x"0A";
1522
             when x"07C4" => D <= x"F1";
1523
             when x"07C5" => D <= x"F1";
1524
             when x"07C6" => D <= x"C1";
1525
             when x"07C7" => D <= x"79";
1526
             when x"07C8" => D <= x"17";
1527
             when x"07C9" => D <= x"9F";
1528
             when x"07CA" => D <= x"47";
1529
             when x"07CB" => D <= x"C5";
1530
             when x"07CC" => D <= x"21";
1531
             when x"07CD" => D <= x"1C";
1532
             when x"07CE" => D <= x"08";
1533
             when x"07CF" => D <= x"E5";
1534
             when x"07D0" => D <= x"CD";
1535
             when x"07D1" => D <= x"1A";
1536
             when x"07D2" => D <= x"0B";
1537
             when x"07D3" => D <= x"F1";
1538
             when x"07D4" => D <= x"F1";
1539
             when x"07D5" => D <= x"21";
1540
             when x"07D6" => D <= x"0D";
1541
             when x"07D7" => D <= x"00";
1542
             when x"07D8" => D <= x"E5";
1543
             when x"07D9" => D <= x"2E";
1544
             when x"07DA" => D <= x"00";
1545
             when x"07DB" => D <= x"E5";
1546
             when x"07DC" => D <= x"CD";
1547
             when x"07DD" => D <= x"80";
1548
             when x"07DE" => D <= x"0A";
1549
             when x"07DF" => D <= x"F1";
1550
             when x"07E0" => D <= x"21";
1551
             when x"07E1" => D <= x"AA";
1552
             when x"07E2" => D <= x"FF";
1553
             when x"07E3" => D <= x"E3";
1554
             when x"07E4" => D <= x"21";
1555
             when x"07E5" => D <= x"2E";
1556
             when x"07E6" => D <= x"08";
1557
             when x"07E7" => D <= x"E5";
1558
             when x"07E8" => D <= x"CD";
1559
             when x"07E9" => D <= x"1A";
1560
             when x"07EA" => D <= x"0B";
1561
             when x"07EB" => D <= x"F1";
1562
             when x"07EC" => D <= x"26";
1563
             when x"07ED" => D <= x"AA";
1564
             when x"07EE" => D <= x"E3";
1565
             when x"07EF" => D <= x"33";
1566
             when x"07F0" => D <= x"21";
1567
             when x"07F1" => D <= x"00";
1568
             when x"07F2" => D <= x"60";
1569
             when x"07F3" => D <= x"E5";
1570
             when x"07F4" => D <= x"CD";
1571
             when x"07F5" => D <= x"57";
1572
             when x"07F6" => D <= x"0A";
1573
             when x"07F7" => D <= x"F1";
1574
             when x"07F8" => D <= x"33";
1575
             when x"07F9" => D <= x"21";
1576
             when x"07FA" => D <= x"0E";
1577
             when x"07FB" => D <= x"00";
1578
             when x"07FC" => D <= x"E5";
1579
             when x"07FD" => D <= x"2E";
1580
             when x"07FE" => D <= x"00";
1581
             when x"07FF" => D <= x"E5";
1582
             when x"0800" => D <= x"CD";
1583
             when x"0801" => D <= x"80";
1584
             when x"0802" => D <= x"0A";
1585
             when x"0803" => D <= x"F1";
1586
             when x"0804" => D <= x"21";
1587
             when x"0805" => D <= x"00";
1588
             when x"0806" => D <= x"60";
1589
             when x"0807" => D <= x"E3";
1590
             when x"0808" => D <= x"CD";
1591
             when x"0809" => D <= x"6C";
1592
             when x"080A" => D <= x"0A";
1593
             when x"080B" => D <= x"F1";
1594
             when x"080C" => D <= x"7D";
1595
             when x"080D" => D <= x"4F";
1596
             when x"080E" => D <= x"17";
1597
             when x"080F" => D <= x"9F";
1598
             when x"0810" => D <= x"47";
1599
             when x"0811" => D <= x"C5";
1600
             when x"0812" => D <= x"21";
1601
             when x"0813" => D <= x"45";
1602
             when x"0814" => D <= x"08";
1603
             when x"0815" => D <= x"E5";
1604
             when x"0816" => D <= x"CD";
1605
             when x"0817" => D <= x"1A";
1606
             when x"0818" => D <= x"0B";
1607
             when x"0819" => D <= x"F1";
1608
             when x"081A" => D <= x"F1";
1609
             when x"081B" => D <= x"C9";
1610
             when x"081C" => D <= x"30";
1611
             when x"081D" => D <= x"78";
1612
             when x"081E" => D <= x"36";
1613
             when x"081F" => D <= x"30";
1614
             when x"0820" => D <= x"30";
1615
             when x"0821" => D <= x"30";
1616
             when x"0822" => D <= x"20";
1617
             when x"0823" => D <= x"63";
1618
             when x"0824" => D <= x"6F";
1619
             when x"0825" => D <= x"6E";
1620
             when x"0826" => D <= x"74";
1621
             when x"0827" => D <= x"65";
1622
             when x"0828" => D <= x"6D";
1623
             when x"0829" => D <= x"3A";
1624
             when x"082A" => D <= x"20";
1625
             when x"082B" => D <= x"25";
1626
             when x"082C" => D <= x"75";
1627
             when x"082D" => D <= x"00";
1628
             when x"082E" => D <= x"45";
1629
             when x"082F" => D <= x"73";
1630
             when x"0830" => D <= x"63";
1631
             when x"0831" => D <= x"72";
1632
             when x"0832" => D <= x"65";
1633
             when x"0833" => D <= x"76";
1634
             when x"0834" => D <= x"65";
1635
             when x"0835" => D <= x"6E";
1636
             when x"0836" => D <= x"64";
1637
             when x"0837" => D <= x"6F";
1638
             when x"0838" => D <= x"20";
1639
             when x"0839" => D <= x"6F";
1640
             when x"083A" => D <= x"20";
1641
             when x"083B" => D <= x"76";
1642
             when x"083C" => D <= x"61";
1643
             when x"083D" => D <= x"6C";
1644
             when x"083E" => D <= x"6F";
1645
             when x"083F" => D <= x"72";
1646
             when x"0840" => D <= x"3A";
1647
             when x"0841" => D <= x"20";
1648
             when x"0842" => D <= x"25";
1649
             when x"0843" => D <= x"75";
1650
             when x"0844" => D <= x"00";
1651
             when x"0845" => D <= x"56";
1652
             when x"0846" => D <= x"61";
1653
             when x"0847" => D <= x"6C";
1654
             when x"0848" => D <= x"6F";
1655
             when x"0849" => D <= x"72";
1656
             when x"084A" => D <= x"20";
1657
             when x"084B" => D <= x"6C";
1658
             when x"084C" => D <= x"69";
1659
             when x"084D" => D <= x"64";
1660
             when x"084E" => D <= x"6F";
1661
             when x"084F" => D <= x"20";
1662
             when x"0850" => D <= x"65";
1663
             when x"0851" => D <= x"6D";
1664
             when x"0852" => D <= x"20";
1665
             when x"0853" => D <= x"30";
1666
             when x"0854" => D <= x"78";
1667
             when x"0855" => D <= x"36";
1668
             when x"0856" => D <= x"30";
1669
             when x"0857" => D <= x"30";
1670
             when x"0858" => D <= x"30";
1671
             when x"0859" => D <= x"3A";
1672
             when x"085A" => D <= x"20";
1673
             when x"085B" => D <= x"25";
1674
             when x"085C" => D <= x"75";
1675
             when x"085D" => D <= x"00";
1676
             when x"085E" => D <= x"DD";
1677
             when x"085F" => D <= x"E5";
1678
             when x"0860" => D <= x"DD";
1679
             when x"0861" => D <= x"21";
1680
             when x"0862" => D <= x"00";
1681
             when x"0863" => D <= x"00";
1682
             when x"0864" => D <= x"DD";
1683
             when x"0865" => D <= x"39";
1684
             when x"0866" => D <= x"DD";
1685
             when x"0867" => D <= x"6E";
1686
             when x"0868" => D <= x"06";
1687
             when x"0869" => D <= x"DD";
1688
             when x"086A" => D <= x"66";
1689
             when x"086B" => D <= x"07";
1690
             when x"086C" => D <= x"E5";
1691
             when x"086D" => D <= x"DD";
1692
             when x"086E" => D <= x"6E";
1693
             when x"086F" => D <= x"04";
1694
             when x"0870" => D <= x"DD";
1695
             when x"0871" => D <= x"66";
1696
             when x"0872" => D <= x"05";
1697
             when x"0873" => D <= x"E5";
1698
             when x"0874" => D <= x"CD";
1699
             when x"0875" => D <= x"80";
1700
             when x"0876" => D <= x"0A";
1701
             when x"0877" => D <= x"F1";
1702
             when x"0878" => D <= x"F1";
1703
             when x"0879" => D <= x"01";
1704
             when x"087A" => D <= x"00";
1705
             when x"087B" => D <= x"00";
1706
             when x"087C" => D <= x"79";
1707
             when x"087D" => D <= x"DD";
1708
             when x"087E" => D <= x"96";
1709
             when x"087F" => D <= x"08";
1710
             when x"0880" => D <= x"78";
1711
             when x"0881" => D <= x"DD";
1712
             when x"0882" => D <= x"9E";
1713
             when x"0883" => D <= x"09";
1714
             when x"0884" => D <= x"E2";
1715
             when x"0885" => D <= x"89";
1716
             when x"0886" => D <= x"08";
1717
             when x"0887" => D <= x"EE";
1718
             when x"0888" => D <= x"80";
1719
             when x"0889" => D <= x"F2";
1720
             when x"088A" => D <= x"9A";
1721
             when x"088B" => D <= x"08";
1722
             when x"088C" => D <= x"C5";
1723
             when x"088D" => D <= x"DD";
1724
             when x"088E" => D <= x"7E";
1725
             when x"088F" => D <= x"0A";
1726
             when x"0890" => D <= x"F5";
1727
             when x"0891" => D <= x"33";
1728
             when x"0892" => D <= x"CD";
1729
             when x"0893" => D <= x"99";
1730
             when x"0894" => D <= x"0A";
1731
             when x"0895" => D <= x"33";
1732
             when x"0896" => D <= x"C1";
1733
             when x"0897" => D <= x"03";
1734
             when x"0898" => D <= x"18";
1735
             when x"0899" => D <= x"E2";
1736
             when x"089A" => D <= x"DD";
1737
             when x"089B" => D <= x"E1";
1738
             when x"089C" => D <= x"C9";
1739
             when x"089D" => D <= x"21";
1740
             when x"089E" => D <= x"FE";
1741
             when x"089F" => D <= x"00";
1742
             when x"08A0" => D <= x"E5";
1743
             when x"08A1" => D <= x"CD";
1744
             when x"08A2" => D <= x"0B";
1745
             when x"08A3" => D <= x"0A";
1746
             when x"08A4" => D <= x"21";
1747
             when x"08A5" => D <= x"AA";
1748
             when x"08A6" => D <= x"00";
1749
             when x"08A7" => D <= x"E3";
1750
             when x"08A8" => D <= x"CD";
1751
             when x"08A9" => D <= x"1B";
1752
             when x"08AA" => D <= x"0A";
1753
             when x"08AB" => D <= x"21";
1754
             when x"08AC" => D <= x"34";
1755
             when x"08AD" => D <= x"00";
1756
             when x"08AE" => D <= x"E3";
1757
             when x"08AF" => D <= x"CD";
1758
             when x"08B0" => D <= x"37";
1759
             when x"08B1" => D <= x"0A";
1760
             when x"08B2" => D <= x"21";
1761
             when x"08B3" => D <= x"12";
1762
             when x"08B4" => D <= x"00";
1763
             when x"08B5" => D <= x"E3";
1764
             when x"08B6" => D <= x"CD";
1765
             when x"08B7" => D <= x"47";
1766
             when x"08B8" => D <= x"0A";
1767
             when x"08B9" => D <= x"F1";
1768
             when x"08BA" => D <= x"C9";
1769
             when x"08BB" => D <= x"DD";
1770
             when x"08BC" => D <= x"E5";
1771
             when x"08BD" => D <= x"DD";
1772
             when x"08BE" => D <= x"21";
1773
             when x"08BF" => D <= x"00";
1774
             when x"08C0" => D <= x"00";
1775
             when x"08C1" => D <= x"DD";
1776
             when x"08C2" => D <= x"39";
1777
             when x"08C3" => D <= x"21";
1778
             when x"08C4" => D <= x"F0";
1779
             when x"08C5" => D <= x"FE";
1780
             when x"08C6" => D <= x"39";
1781
             when x"08C7" => D <= x"F9";
1782
             when x"08C8" => D <= x"21";
1783
             when x"08C9" => D <= x"00";
1784
             when x"08CA" => D <= x"00";
1785
             when x"08CB" => D <= x"39";
1786
             when x"08CC" => D <= x"4D";
1787
             when x"08CD" => D <= x"44";
1788
             when x"08CE" => D <= x"36";
1789
             when x"08CF" => D <= x"2A";
1790
             when x"08D0" => D <= x"59";
1791
             when x"08D1" => D <= x"50";
1792
             when x"08D2" => D <= x"13";
1793
             when x"08D3" => D <= x"3E";
1794
             when x"08D4" => D <= x"4B";
1795
             when x"08D5" => D <= x"12";
1796
             when x"08D6" => D <= x"59";
1797
             when x"08D7" => D <= x"50";
1798
             when x"08D8" => D <= x"13";
1799
             when x"08D9" => D <= x"13";
1800
             when x"08DA" => D <= x"3E";
1801
             when x"08DB" => D <= x"61";
1802
             when x"08DC" => D <= x"12";
1803
             when x"08DD" => D <= x"21";
1804
             when x"08DE" => D <= x"03";
1805
             when x"08DF" => D <= x"00";
1806
             when x"08E0" => D <= x"09";
1807
             when x"08E1" => D <= x"EB";
1808
             when x"08E2" => D <= x"3E";
1809
             when x"08E3" => D <= x"72";
1810
             when x"08E4" => D <= x"12";
1811
             when x"08E5" => D <= x"21";
1812
             when x"08E6" => D <= x"04";
1813
             when x"08E7" => D <= x"00";
1814
             when x"08E8" => D <= x"09";
1815
             when x"08E9" => D <= x"EB";
1816
             when x"08EA" => D <= x"3E";
1817
             when x"08EB" => D <= x"69";
1818
             when x"08EC" => D <= x"12";
1819
             when x"08ED" => D <= x"21";
1820
             when x"08EE" => D <= x"05";
1821
             when x"08EF" => D <= x"00";
1822
             when x"08F0" => D <= x"09";
1823
             when x"08F1" => D <= x"EB";
1824
             when x"08F2" => D <= x"3E";
1825
             when x"08F3" => D <= x"6E";
1826
             when x"08F4" => D <= x"12";
1827
             when x"08F5" => D <= x"21";
1828
             when x"08F6" => D <= x"06";
1829
             when x"08F7" => D <= x"00";
1830
             when x"08F8" => D <= x"09";
1831
             when x"08F9" => D <= x"EB";
1832
             when x"08FA" => D <= x"3E";
1833
             when x"08FB" => D <= x"61";
1834
             when x"08FC" => D <= x"12";
1835
             when x"08FD" => D <= x"21";
1836
             when x"08FE" => D <= x"07";
1837
             when x"08FF" => D <= x"00";
1838
             when x"0900" => D <= x"09";
1839
             when x"0901" => D <= x"EB";
1840
             when x"0902" => D <= x"3E";
1841
             when x"0903" => D <= x"20";
1842
             when x"0904" => D <= x"12";
1843
             when x"0905" => D <= x"21";
1844
             when x"0906" => D <= x"08";
1845
             when x"0907" => D <= x"00";
1846
             when x"0908" => D <= x"09";
1847
             when x"0909" => D <= x"EB";
1848
             when x"090A" => D <= x"3E";
1849
             when x"090B" => D <= x"4D";
1850
             when x"090C" => D <= x"12";
1851
             when x"090D" => D <= x"21";
1852
             when x"090E" => D <= x"09";
1853
             when x"090F" => D <= x"00";
1854
             when x"0910" => D <= x"09";
1855
             when x"0911" => D <= x"EB";
1856
             when x"0912" => D <= x"3E";
1857
             when x"0913" => D <= x"79";
1858
             when x"0914" => D <= x"12";
1859
             when x"0915" => D <= x"21";
1860
             when x"0916" => D <= x"0A";
1861
             when x"0917" => D <= x"00";
1862
             when x"0918" => D <= x"09";
1863
             when x"0919" => D <= x"EB";
1864
             when x"091A" => D <= x"3E";
1865
             when x"091B" => D <= x"20";
1866
             when x"091C" => D <= x"12";
1867
             when x"091D" => D <= x"21";
1868
             when x"091E" => D <= x"0B";
1869
             when x"091F" => D <= x"00";
1870
             when x"0920" => D <= x"09";
1871
             when x"0921" => D <= x"EB";
1872
             when x"0922" => D <= x"3E";
1873
             when x"0923" => D <= x"4C";
1874
             when x"0924" => D <= x"12";
1875
             when x"0925" => D <= x"21";
1876
             when x"0926" => D <= x"0C";
1877
             when x"0927" => D <= x"00";
1878
             when x"0928" => D <= x"09";
1879
             when x"0929" => D <= x"EB";
1880
             when x"092A" => D <= x"3E";
1881
             when x"092B" => D <= x"6F";
1882
             when x"092C" => D <= x"12";
1883
             when x"092D" => D <= x"21";
1884
             when x"092E" => D <= x"0D";
1885
             when x"092F" => D <= x"00";
1886
             when x"0930" => D <= x"09";
1887
             when x"0931" => D <= x"EB";
1888
             when x"0932" => D <= x"3E";
1889
             when x"0933" => D <= x"76";
1890
             when x"0934" => D <= x"12";
1891
             when x"0935" => D <= x"21";
1892
             when x"0936" => D <= x"0E";
1893
             when x"0937" => D <= x"00";
1894
             when x"0938" => D <= x"09";
1895
             when x"0939" => D <= x"EB";
1896
             when x"093A" => D <= x"3E";
1897
             when x"093B" => D <= x"65";
1898
             when x"093C" => D <= x"12";
1899
             when x"093D" => D <= x"21";
1900
             when x"093E" => D <= x"0F";
1901
             when x"093F" => D <= x"00";
1902
             when x"0940" => D <= x"09";
1903
             when x"0941" => D <= x"EB";
1904
             when x"0942" => D <= x"3E";
1905
             when x"0943" => D <= x"2A";
1906
             when x"0944" => D <= x"12";
1907
             when x"0945" => D <= x"21";
1908
             when x"0946" => D <= x"10";
1909
             when x"0947" => D <= x"00";
1910
             when x"0948" => D <= x"09";
1911
             when x"0949" => D <= x"36";
1912
             when x"094A" => D <= x"00";
1913
             when x"094B" => D <= x"CD";
1914
             when x"094C" => D <= x"7B";
1915
             when x"094D" => D <= x"02";
1916
             when x"094E" => D <= x"21";
1917
             when x"094F" => D <= x"0A";
1918
             when x"0950" => D <= x"00";
1919
             when x"0951" => D <= x"E5";
1920
             when x"0952" => D <= x"2E";
1921
             when x"0953" => D <= x"0A";
1922
             when x"0954" => D <= x"E5";
1923
             when x"0955" => D <= x"CD";
1924
             when x"0956" => D <= x"80";
1925
             when x"0957" => D <= x"0A";
1926
             when x"0958" => D <= x"F1";
1927
             when x"0959" => D <= x"21";
1928
             when x"095A" => D <= x"C5";
1929
             when x"095B" => D <= x"09";
1930
             when x"095C" => D <= x"E3";
1931
             when x"095D" => D <= x"CD";
1932
             when x"095E" => D <= x"1A";
1933
             when x"095F" => D <= x"0B";
1934
             when x"0960" => D <= x"F1";
1935
             when x"0961" => D <= x"21";
1936
             when x"0962" => D <= x"20";
1937
             when x"0963" => D <= x"00";
1938
             when x"0964" => D <= x"E5";
1939
             when x"0965" => D <= x"2E";
1940
             when x"0966" => D <= x"14";
1941
             when x"0967" => D <= x"E5";
1942
             when x"0968" => D <= x"CD";
1943
             when x"0969" => D <= x"80";
1944
             when x"096A" => D <= x"0A";
1945
             when x"096B" => D <= x"F1";
1946
             when x"096C" => D <= x"21";
1947
             when x"096D" => D <= x"D8";
1948
             when x"096E" => D <= x"09";
1949
             when x"096F" => D <= x"E3";
1950
             when x"0970" => D <= x"CD";
1951
             when x"0971" => D <= x"1A";
1952
             when x"0972" => D <= x"0B";
1953
             when x"0973" => D <= x"F1";
1954
             when x"0974" => D <= x"21";
1955
             when x"0975" => D <= x"3B";
1956
             when x"0976" => D <= x"00";
1957
             when x"0977" => D <= x"E5";
1958
             when x"0978" => D <= x"2E";
1959
             when x"0979" => D <= x"20";
1960
             when x"097A" => D <= x"E5";
1961
             when x"097B" => D <= x"CD";
1962
             when x"097C" => D <= x"80";
1963
             when x"097D" => D <= x"0A";
1964
             when x"097E" => D <= x"F1";
1965
             when x"097F" => D <= x"21";
1966
             when x"0980" => D <= x"F1";
1967
             when x"0981" => D <= x"09";
1968
             when x"0982" => D <= x"E3";
1969
             when x"0983" => D <= x"CD";
1970
             when x"0984" => D <= x"1A";
1971
             when x"0985" => D <= x"0B";
1972
             when x"0986" => D <= x"21";
1973
             when x"0987" => D <= x"23";
1974
             when x"0988" => D <= x"00";
1975
             when x"0989" => D <= x"E3";
1976
             when x"098A" => D <= x"CD";
1977
             when x"098B" => D <= x"06";
1978
             when x"098C" => D <= x"03";
1979
             when x"098D" => D <= x"F1";
1980
             when x"098E" => D <= x"CD";
1981
             when x"098F" => D <= x"AD";
1982
             when x"0990" => D <= x"04";
1983
             when x"0991" => D <= x"21";
1984
             when x"0992" => D <= x"FF";
1985
             when x"0993" => D <= x"FF";
1986
             when x"0994" => D <= x"E5";
1987
             when x"0995" => D <= x"21";
1988
             when x"0996" => D <= x"FF";
1989
             when x"0997" => D <= x"09";
1990
             when x"0998" => D <= x"E5";
1991
             when x"0999" => D <= x"CD";
1992
             when x"099A" => D <= x"1A";
1993
             when x"099B" => D <= x"0B";
1994
             when x"099C" => D <= x"F1";
1995
             when x"099D" => D <= x"F1";
1996
             when x"099E" => D <= x"CD";
1997
             when x"099F" => D <= x"EA";
1998
             when x"09A0" => D <= x"05";
1999
             when x"09A1" => D <= x"CD";
2000
             when x"09A2" => D <= x"B0";
2001
             when x"09A3" => D <= x"07";
2002
             when x"09A4" => D <= x"CD";
2003
             when x"09A5" => D <= x"9D";
2004
             when x"09A6" => D <= x"08";
2005
             when x"09A7" => D <= x"21";
2006
             when x"09A8" => D <= x"00";
2007
             when x"09A9" => D <= x"00";
2008
             when x"09AA" => D <= x"E5";
2009
             when x"09AB" => D <= x"CD";
2010
             when x"09AC" => D <= x"D3";
2011
             when x"09AD" => D <= x"02";
2012
             when x"09AE" => D <= x"26";
2013
             when x"09AF" => D <= x"2A";
2014
             when x"09B0" => D <= x"E3";
2015
             when x"09B1" => D <= x"33";
2016
             when x"09B2" => D <= x"21";
2017
             when x"09B3" => D <= x"51";
2018
             when x"09B4" => D <= x"00";
2019
             when x"09B5" => D <= x"E5";
2020
             when x"09B6" => D <= x"2E";
2021
             when x"09B7" => D <= x"00";
2022
             when x"09B8" => D <= x"E5";
2023
             when x"09B9" => D <= x"2E";
2024
             when x"09BA" => D <= x"00";
2025
             when x"09BB" => D <= x"E5";
2026
             when x"09BC" => D <= x"CD";
2027
             when x"09BD" => D <= x"5E";
2028
             when x"09BE" => D <= x"08";
2029
             when x"09BF" => D <= x"F1";
2030
             when x"09C0" => D <= x"F1";
2031
             when x"09C1" => D <= x"F1";
2032
             when x"09C2" => D <= x"33";
2033
             when x"09C3" => D <= x"18";
2034
             when x"09C4" => D <= x"E2";
2035
             when x"09C5" => D <= x"54";
2036
             when x"09C6" => D <= x"65";
2037
             when x"09C7" => D <= x"73";
2038
             when x"09C8" => D <= x"74";
2039
             when x"09C9" => D <= x"61";
2040
             when x"09CA" => D <= x"6E";
2041
             when x"09CB" => D <= x"64";
2042
             when x"09CC" => D <= x"6F";
2043
             when x"09CD" => D <= x"2E";
2044
             when x"09CE" => D <= x"2E";
2045
             when x"09CF" => D <= x"2E";
2046
             when x"09D0" => D <= x"20";
2047
             when x"09D1" => D <= x"70";
2048
             when x"09D2" => D <= x"72";
2049
             when x"09D3" => D <= x"69";
2050
             when x"09D4" => D <= x"6E";
2051
             when x"09D5" => D <= x"74";
2052
             when x"09D6" => D <= x"66";
2053
             when x"09D7" => D <= x"00";
2054
             when x"09D8" => D <= x"43";
2055
             when x"09D9" => D <= x"4C";
2056
             when x"09DA" => D <= x"53";
2057
             when x"09DB" => D <= x"20";
2058
             when x"09DC" => D <= x"68";
2059
             when x"09DD" => D <= x"61";
2060
             when x"09DE" => D <= x"73";
2061
             when x"09DF" => D <= x"20";
2062
             when x"09E0" => D <= x"67";
2063
             when x"09E1" => D <= x"6F";
2064
             when x"09E2" => D <= x"6E";
2065
             when x"09E3" => D <= x"65";
2066
             when x"09E4" => D <= x"20";
2067
             when x"09E5" => D <= x"6C";
2068
             when x"09E6" => D <= x"6F";
2069
             when x"09E7" => D <= x"6E";
2070
             when x"09E8" => D <= x"67";
2071
             when x"09E9" => D <= x"20";
2072
             when x"09EA" => D <= x"61";
2073
             when x"09EB" => D <= x"67";
2074
             when x"09EC" => D <= x"6F";
2075
             when x"09ED" => D <= x"2E";
2076
             when x"09EE" => D <= x"2E";
2077
             when x"09EF" => D <= x"2E";
2078
             when x"09F0" => D <= x"00";
2079
             when x"09F1" => D <= x"4C";
2080
             when x"09F2" => D <= x"41";
2081
             when x"09F3" => D <= x"53";
2082
             when x"09F4" => D <= x"54";
2083
             when x"09F5" => D <= x"20";
2084
             when x"09F6" => D <= x"4C";
2085
             when x"09F7" => D <= x"49";
2086
             when x"09F8" => D <= x"4E";
2087
             when x"09F9" => D <= x"45";
2088
             when x"09FA" => D <= x"3A";
2089
             when x"09FB" => D <= x"20";
2090
             when x"09FC" => D <= x"35";
2091
             when x"09FD" => D <= x"39";
2092
             when x"09FE" => D <= x"00";
2093
             when x"09FF" => D <= x"49";
2094
             when x"0A00" => D <= x"6E";
2095
             when x"0A01" => D <= x"74";
2096
             when x"0A02" => D <= x"65";
2097
             when x"0A03" => D <= x"67";
2098
             when x"0A04" => D <= x"65";
2099
             when x"0A05" => D <= x"72";
2100
             when x"0A06" => D <= x"3A";
2101
             when x"0A07" => D <= x"20";
2102
             when x"0A08" => D <= x"25";
2103
             when x"0A09" => D <= x"75";
2104
             when x"0A0A" => D <= x"00";
2105
             when x"0A0B" => D <= x"DD";
2106
             when x"0A0C" => D <= x"E5";
2107
             when x"0A0D" => D <= x"DD";
2108
             when x"0A0E" => D <= x"21";
2109
             when x"0A0F" => D <= x"00";
2110
             when x"0A10" => D <= x"00";
2111
             when x"0A11" => D <= x"DD";
2112
             when x"0A12" => D <= x"39";
2113
             when x"0A13" => D <= x"DD";
2114
             when x"0A14" => D <= x"7E";
2115
             when x"0A15" => D <= x"04";
2116
             when x"0A16" => D <= x"D3";
2117
             when x"0A17" => D <= x"01";
2118
             when x"0A18" => D <= x"DD";
2119
             when x"0A19" => D <= x"E1";
2120
             when x"0A1A" => D <= x"C9";
2121
             when x"0A1B" => D <= x"DD";
2122
             when x"0A1C" => D <= x"E5";
2123
             when x"0A1D" => D <= x"DD";
2124
             when x"0A1E" => D <= x"21";
2125
             when x"0A1F" => D <= x"00";
2126
             when x"0A20" => D <= x"00";
2127
             when x"0A21" => D <= x"DD";
2128
             when x"0A22" => D <= x"39";
2129
             when x"0A23" => D <= x"DD";
2130
             when x"0A24" => D <= x"7E";
2131
             when x"0A25" => D <= x"04";
2132
             when x"0A26" => D <= x"D3";
2133
             when x"0A27" => D <= x"02";
2134
             when x"0A28" => D <= x"DD";
2135
             when x"0A29" => D <= x"E1";
2136
             when x"0A2A" => D <= x"C9";
2137
             when x"0A2B" => D <= x"DB";
2138
             when x"0A2C" => D <= x"30";
2139
             when x"0A2D" => D <= x"6F";
2140
             when x"0A2E" => D <= x"26";
2141
             when x"0A2F" => D <= x"00";
2142
             when x"0A30" => D <= x"C9";
2143
             when x"0A31" => D <= x"DB";
2144
             when x"0A32" => D <= x"20";
2145
             when x"0A33" => D <= x"6F";
2146
             when x"0A34" => D <= x"26";
2147
             when x"0A35" => D <= x"00";
2148
             when x"0A36" => D <= x"C9";
2149
             when x"0A37" => D <= x"DD";
2150
             when x"0A38" => D <= x"E5";
2151
             when x"0A39" => D <= x"DD";
2152
             when x"0A3A" => D <= x"21";
2153
             when x"0A3B" => D <= x"00";
2154
             when x"0A3C" => D <= x"00";
2155
             when x"0A3D" => D <= x"DD";
2156
             when x"0A3E" => D <= x"39";
2157
             when x"0A3F" => D <= x"DD";
2158
             when x"0A40" => D <= x"7E";
2159
             when x"0A41" => D <= x"04";
2160
             when x"0A42" => D <= x"D3";
2161
             when x"0A43" => D <= x"11";
2162
             when x"0A44" => D <= x"DD";
2163
             when x"0A45" => D <= x"E1";
2164
             when x"0A46" => D <= x"C9";
2165
             when x"0A47" => D <= x"DD";
2166
             when x"0A48" => D <= x"E5";
2167
             when x"0A49" => D <= x"DD";
2168
             when x"0A4A" => D <= x"21";
2169
             when x"0A4B" => D <= x"00";
2170
             when x"0A4C" => D <= x"00";
2171
             when x"0A4D" => D <= x"DD";
2172
             when x"0A4E" => D <= x"39";
2173
             when x"0A4F" => D <= x"DD";
2174
             when x"0A50" => D <= x"7E";
2175
             when x"0A51" => D <= x"04";
2176
             when x"0A52" => D <= x"D3";
2177
             when x"0A53" => D <= x"10";
2178
             when x"0A54" => D <= x"DD";
2179
             when x"0A55" => D <= x"E1";
2180
             when x"0A56" => D <= x"C9";
2181
             when x"0A57" => D <= x"DD";
2182
             when x"0A58" => D <= x"E5";
2183
             when x"0A59" => D <= x"DD";
2184
             when x"0A5A" => D <= x"21";
2185
             when x"0A5B" => D <= x"00";
2186
             when x"0A5C" => D <= x"00";
2187
             when x"0A5D" => D <= x"DD";
2188
             when x"0A5E" => D <= x"39";
2189
             when x"0A5F" => D <= x"DD";
2190
             when x"0A60" => D <= x"6E";
2191
             when x"0A61" => D <= x"04";
2192
             when x"0A62" => D <= x"DD";
2193
             when x"0A63" => D <= x"66";
2194
             when x"0A64" => D <= x"05";
2195
             when x"0A65" => D <= x"DD";
2196
             when x"0A66" => D <= x"4E";
2197
             when x"0A67" => D <= x"06";
2198
             when x"0A68" => D <= x"71";
2199
             when x"0A69" => D <= x"DD";
2200
             when x"0A6A" => D <= x"E1";
2201
             when x"0A6B" => D <= x"C9";
2202
             when x"0A6C" => D <= x"DD";
2203
             when x"0A6D" => D <= x"E5";
2204
             when x"0A6E" => D <= x"DD";
2205
             when x"0A6F" => D <= x"21";
2206
             when x"0A70" => D <= x"00";
2207
             when x"0A71" => D <= x"00";
2208
             when x"0A72" => D <= x"DD";
2209
             when x"0A73" => D <= x"39";
2210
             when x"0A74" => D <= x"DD";
2211
             when x"0A75" => D <= x"6E";
2212
             when x"0A76" => D <= x"04";
2213
             when x"0A77" => D <= x"DD";
2214
             when x"0A78" => D <= x"66";
2215
             when x"0A79" => D <= x"05";
2216
             when x"0A7A" => D <= x"7E";
2217
             when x"0A7B" => D <= x"23";
2218
             when x"0A7C" => D <= x"6F";
2219
             when x"0A7D" => D <= x"DD";
2220
             when x"0A7E" => D <= x"E1";
2221
             when x"0A7F" => D <= x"C9";
2222
             when x"0A80" => D <= x"DD";
2223
             when x"0A81" => D <= x"E5";
2224
             when x"0A82" => D <= x"DD";
2225
             when x"0A83" => D <= x"21";
2226
             when x"0A84" => D <= x"00";
2227
             when x"0A85" => D <= x"00";
2228
             when x"0A86" => D <= x"DD";
2229
             when x"0A87" => D <= x"39";
2230
             when x"0A88" => D <= x"DD";
2231
             when x"0A89" => D <= x"7E";
2232
             when x"0A8A" => D <= x"04";
2233
             when x"0A8B" => D <= x"D3";
2234
             when x"0A8C" => D <= x"91";
2235
             when x"0A8D" => D <= x"DD";
2236
             when x"0A8E" => D <= x"7E";
2237
             when x"0A8F" => D <= x"06";
2238
             when x"0A90" => D <= x"D3";
2239
             when x"0A91" => D <= x"92";
2240
             when x"0A92" => D <= x"DD";
2241
             when x"0A93" => D <= x"E1";
2242
             when x"0A94" => D <= x"C9";
2243
             when x"0A95" => D <= x"DB";
2244
             when x"0A96" => D <= x"80";
2245
             when x"0A97" => D <= x"6F";
2246
             when x"0A98" => D <= x"C9";
2247
             when x"0A99" => D <= x"DD";
2248
             when x"0A9A" => D <= x"E5";
2249
             when x"0A9B" => D <= x"DD";
2250
             when x"0A9C" => D <= x"21";
2251
             when x"0A9D" => D <= x"00";
2252
             when x"0A9E" => D <= x"00";
2253
             when x"0A9F" => D <= x"DD";
2254
             when x"0AA0" => D <= x"39";
2255
             when x"0AA1" => D <= x"DD";
2256
             when x"0AA2" => D <= x"7E";
2257
             when x"0AA3" => D <= x"04";
2258
             when x"0AA4" => D <= x"D3";
2259
             when x"0AA5" => D <= x"90";
2260
             when x"0AA6" => D <= x"DD";
2261
             when x"0AA7" => D <= x"E1";
2262
             when x"0AA8" => D <= x"C9";
2263
             when x"0AA9" => D <= x"CD";
2264
             when x"0AAA" => D <= x"95";
2265
             when x"0AAB" => D <= x"0A";
2266
             when x"0AAC" => D <= x"4D";
2267
             when x"0AAD" => D <= x"AF";
2268
             when x"0AAE" => D <= x"B1";
2269
             when x"0AAF" => D <= x"20";
2270
             when x"0AB0" => D <= x"06";
2271
             when x"0AB1" => D <= x"CD";
2272
             when x"0AB2" => D <= x"95";
2273
             when x"0AB3" => D <= x"0A";
2274
             when x"0AB4" => D <= x"4D";
2275
             when x"0AB5" => D <= x"18";
2276
             when x"0AB6" => D <= x"F6";
2277
             when x"0AB7" => D <= x"69";
2278
             when x"0AB8" => D <= x"C9";
2279
             when x"0AB9" => D <= x"DD";
2280
             when x"0ABA" => D <= x"E5";
2281
             when x"0ABB" => D <= x"DD";
2282
             when x"0ABC" => D <= x"21";
2283
             when x"0ABD" => D <= x"00";
2284
             when x"0ABE" => D <= x"00";
2285
             when x"0ABF" => D <= x"DD";
2286
             when x"0AC0" => D <= x"39";
2287
             when x"0AC1" => D <= x"DD";
2288
             when x"0AC2" => D <= x"6E";
2289
             when x"0AC3" => D <= x"04";
2290
             when x"0AC4" => D <= x"DD";
2291
             when x"0AC5" => D <= x"66";
2292
             when x"0AC6" => D <= x"05";
2293
             when x"0AC7" => D <= x"DD";
2294
             when x"0AC8" => D <= x"4E";
2295
             when x"0AC9" => D <= x"06";
2296
             when x"0ACA" => D <= x"DD";
2297
             when x"0ACB" => D <= x"46";
2298
             when x"0ACC" => D <= x"07";
2299
             when x"0ACD" => D <= x"EB";
2300
             when x"0ACE" => D <= x"0A";
2301
             when x"0ACF" => D <= x"03";
2302
             when x"0AD0" => D <= x"12";
2303
             when x"0AD1" => D <= x"13";
2304
             when x"0AD2" => D <= x"B7";
2305
             when x"0AD3" => D <= x"20";
2306
             when x"0AD4" => D <= x"F9";
2307
             when x"0AD5" => D <= x"DD";
2308
             when x"0AD6" => D <= x"6E";
2309
             when x"0AD7" => D <= x"04";
2310
             when x"0AD8" => D <= x"DD";
2311
             when x"0AD9" => D <= x"66";
2312
             when x"0ADA" => D <= x"05";
2313
             when x"0ADB" => D <= x"DD";
2314
             when x"0ADC" => D <= x"E1";
2315
             when x"0ADD" => D <= x"C9";
2316
             when x"0ADE" => D <= x"DD";
2317
             when x"0ADF" => D <= x"E5";
2318
             when x"0AE0" => D <= x"DD";
2319
             when x"0AE1" => D <= x"21";
2320
             when x"0AE2" => D <= x"00";
2321
             when x"0AE3" => D <= x"00";
2322
             when x"0AE4" => D <= x"DD";
2323
             when x"0AE5" => D <= x"39";
2324
             when x"0AE6" => D <= x"DD";
2325
             when x"0AE7" => D <= x"7E";
2326
             when x"0AE8" => D <= x"04";
2327
             when x"0AE9" => D <= x"F5";
2328
             when x"0AEA" => D <= x"33";
2329
             when x"0AEB" => D <= x"CD";
2330
             when x"0AEC" => D <= x"99";
2331
             when x"0AED" => D <= x"0A";
2332
             when x"0AEE" => D <= x"33";
2333
             when x"0AEF" => D <= x"DD";
2334
             when x"0AF0" => D <= x"E1";
2335
             when x"0AF1" => D <= x"C9";
2336
             when x"0AF2" => D <= x"DD";
2337
             when x"0AF3" => D <= x"E5";
2338
             when x"0AF4" => D <= x"DD";
2339
             when x"0AF5" => D <= x"21";
2340
             when x"0AF6" => D <= x"00";
2341
             when x"0AF7" => D <= x"00";
2342
             when x"0AF8" => D <= x"DD";
2343
             when x"0AF9" => D <= x"39";
2344
             when x"0AFA" => D <= x"DD";
2345
             when x"0AFB" => D <= x"6E";
2346
             when x"0AFC" => D <= x"06";
2347
             when x"0AFD" => D <= x"DD";
2348
             when x"0AFE" => D <= x"66";
2349
             when x"0AFF" => D <= x"07";
2350
             when x"0B00" => D <= x"E5";
2351
             when x"0B01" => D <= x"DD";
2352
             when x"0B02" => D <= x"6E";
2353
             when x"0B03" => D <= x"04";
2354
             when x"0B04" => D <= x"DD";
2355
             when x"0B05" => D <= x"66";
2356
             when x"0B06" => D <= x"05";
2357
             when x"0B07" => D <= x"E5";
2358
             when x"0B08" => D <= x"21";
2359
             when x"0B09" => D <= x"00";
2360
             when x"0B0A" => D <= x"00";
2361
             when x"0B0B" => D <= x"E5";
2362
             when x"0B0C" => D <= x"21";
2363
             when x"0B0D" => D <= x"DE";
2364
             when x"0B0E" => D <= x"0A";
2365
             when x"0B0F" => D <= x"E5";
2366
             when x"0B10" => D <= x"CD";
2367
             when x"0B11" => D <= x"99";
2368
             when x"0B12" => D <= x"0C";
2369
             when x"0B13" => D <= x"F1";
2370
             when x"0B14" => D <= x"F1";
2371
             when x"0B15" => D <= x"F1";
2372
             when x"0B16" => D <= x"F1";
2373
             when x"0B17" => D <= x"DD";
2374
             when x"0B18" => D <= x"E1";
2375
             when x"0B19" => D <= x"C9";
2376
             when x"0B1A" => D <= x"DD";
2377
             when x"0B1B" => D <= x"E5";
2378
             when x"0B1C" => D <= x"DD";
2379
             when x"0B1D" => D <= x"21";
2380
             when x"0B1E" => D <= x"00";
2381
             when x"0B1F" => D <= x"00";
2382
             when x"0B20" => D <= x"DD";
2383
             when x"0B21" => D <= x"39";
2384
             when x"0B22" => D <= x"21";
2385
             when x"0B23" => D <= x"06";
2386
             when x"0B24" => D <= x"00";
2387
             when x"0B25" => D <= x"39";
2388
             when x"0B26" => D <= x"E5";
2389
             when x"0B27" => D <= x"DD";
2390
             when x"0B28" => D <= x"6E";
2391
             when x"0B29" => D <= x"04";
2392
             when x"0B2A" => D <= x"DD";
2393
             when x"0B2B" => D <= x"66";
2394
             when x"0B2C" => D <= x"05";
2395
             when x"0B2D" => D <= x"E5";
2396
             when x"0B2E" => D <= x"21";
2397
             when x"0B2F" => D <= x"00";
2398
             when x"0B30" => D <= x"00";
2399
             when x"0B31" => D <= x"E5";
2400
             when x"0B32" => D <= x"21";
2401
             when x"0B33" => D <= x"DE";
2402
             when x"0B34" => D <= x"0A";
2403
             when x"0B35" => D <= x"E5";
2404
             when x"0B36" => D <= x"CD";
2405
             when x"0B37" => D <= x"99";
2406
             when x"0B38" => D <= x"0C";
2407
             when x"0B39" => D <= x"F1";
2408
             when x"0B3A" => D <= x"F1";
2409
             when x"0B3B" => D <= x"F1";
2410
             when x"0B3C" => D <= x"F1";
2411
             when x"0B3D" => D <= x"DD";
2412
             when x"0B3E" => D <= x"E1";
2413
             when x"0B3F" => D <= x"C9";
2414
             when x"0B40" => D <= x"C1";
2415
             when x"0B41" => D <= x"E1";
2416
             when x"0B42" => D <= x"E5";
2417
             when x"0B43" => D <= x"C5";
2418
             when x"0B44" => D <= x"AF";
2419
             when x"0B45" => D <= x"47";
2420
             when x"0B46" => D <= x"4F";
2421
             when x"0B47" => D <= x"ED";
2422
             when x"0B48" => D <= x"B1";
2423
             when x"0B49" => D <= x"21";
2424
             when x"0B4A" => D <= x"FF";
2425
             when x"0B4B" => D <= x"FF";
2426
             when x"0B4C" => D <= x"ED";
2427
             when x"0B4D" => D <= x"42";
2428
             when x"0B4E" => D <= x"C9";
2429
             when x"0B4F" => D <= x"DD";
2430
             when x"0B50" => D <= x"E5";
2431
             when x"0B51" => D <= x"DD";
2432
             when x"0B52" => D <= x"21";
2433
             when x"0B53" => D <= x"00";
2434
             when x"0B54" => D <= x"00";
2435
             when x"0B55" => D <= x"DD";
2436
             when x"0B56" => D <= x"39";
2437
             when x"0B57" => D <= x"DD";
2438
             when x"0B58" => D <= x"7E";
2439
             when x"0B59" => D <= x"04";
2440
             when x"0B5A" => D <= x"C6";
2441
             when x"0B5B" => D <= x"30";
2442
             when x"0B5C" => D <= x"4F";
2443
             when x"0B5D" => D <= x"3E";
2444
             when x"0B5E" => D <= x"39";
2445
             when x"0B5F" => D <= x"91";
2446
             when x"0B60" => D <= x"30";
2447
             when x"0B61" => D <= x"0E";
2448
             when x"0B62" => D <= x"79";
2449
             when x"0B63" => D <= x"C6";
2450
             when x"0B64" => D <= x"07";
2451
             when x"0B65" => D <= x"4F";
2452
             when x"0B66" => D <= x"DD";
2453
             when x"0B67" => D <= x"CB";
2454
             when x"0B68" => D <= x"05";
2455
             when x"0B69" => D <= x"46";
2456
             when x"0B6A" => D <= x"28";
2457
             when x"0B6B" => D <= x"04";
2458
             when x"0B6C" => D <= x"79";
2459
             when x"0B6D" => D <= x"C6";
2460
             when x"0B6E" => D <= x"20";
2461
             when x"0B6F" => D <= x"4F";
2462
             when x"0B70" => D <= x"DD";
2463
             when x"0B71" => D <= x"6E";
2464
             when x"0B72" => D <= x"08";
2465
             when x"0B73" => D <= x"DD";
2466
             when x"0B74" => D <= x"66";
2467
             when x"0B75" => D <= x"09";
2468
             when x"0B76" => D <= x"E5";
2469
             when x"0B77" => D <= x"79";
2470
             when x"0B78" => D <= x"F5";
2471
             when x"0B79" => D <= x"33";
2472
             when x"0B7A" => D <= x"21";
2473
             when x"0B7B" => D <= x"85";
2474
             when x"0B7C" => D <= x"0B";
2475
             when x"0B7D" => D <= x"E5";
2476
             when x"0B7E" => D <= x"DD";
2477
             when x"0B7F" => D <= x"6E";
2478
             when x"0B80" => D <= x"06";
2479
             when x"0B81" => D <= x"DD";
2480
             when x"0B82" => D <= x"66";
2481
             when x"0B83" => D <= x"07";
2482
             when x"0B84" => D <= x"E9";
2483
             when x"0B85" => D <= x"F1";
2484
             when x"0B86" => D <= x"33";
2485
             when x"0B87" => D <= x"DD";
2486
             when x"0B88" => D <= x"E1";
2487
             when x"0B89" => D <= x"C9";
2488
             when x"0B8A" => D <= x"DD";
2489
             when x"0B8B" => D <= x"E5";
2490
             when x"0B8C" => D <= x"DD";
2491
             when x"0B8D" => D <= x"21";
2492
             when x"0B8E" => D <= x"00";
2493
             when x"0B8F" => D <= x"00";
2494
             when x"0B90" => D <= x"DD";
2495
             when x"0B91" => D <= x"39";
2496
             when x"0B92" => D <= x"DD";
2497
             when x"0B93" => D <= x"4E";
2498
             when x"0B94" => D <= x"04";
2499
             when x"0B95" => D <= x"CB";
2500
             when x"0B96" => D <= x"39";
2501
             when x"0B97" => D <= x"CB";
2502
             when x"0B98" => D <= x"39";
2503
             when x"0B99" => D <= x"CB";
2504
             when x"0B9A" => D <= x"39";
2505
             when x"0B9B" => D <= x"CB";
2506
             when x"0B9C" => D <= x"39";
2507
             when x"0B9D" => D <= x"DD";
2508
             when x"0B9E" => D <= x"6E";
2509
             when x"0B9F" => D <= x"08";
2510
             when x"0BA0" => D <= x"DD";
2511
             when x"0BA1" => D <= x"66";
2512
             when x"0BA2" => D <= x"09";
2513
             when x"0BA3" => D <= x"E5";
2514
             when x"0BA4" => D <= x"DD";
2515
             when x"0BA5" => D <= x"6E";
2516
             when x"0BA6" => D <= x"06";
2517
             when x"0BA7" => D <= x"DD";
2518
             when x"0BA8" => D <= x"66";
2519
             when x"0BA9" => D <= x"07";
2520
             when x"0BAA" => D <= x"E5";
2521
             when x"0BAB" => D <= x"DD";
2522
             when x"0BAC" => D <= x"46";
2523
             when x"0BAD" => D <= x"05";
2524
             when x"0BAE" => D <= x"C5";
2525
             when x"0BAF" => D <= x"CD";
2526
             when x"0BB0" => D <= x"4F";
2527
             when x"0BB1" => D <= x"0B";
2528
             when x"0BB2" => D <= x"F1";
2529
             when x"0BB3" => D <= x"F1";
2530
             when x"0BB4" => D <= x"F1";
2531
             when x"0BB5" => D <= x"DD";
2532
             when x"0BB6" => D <= x"7E";
2533
             when x"0BB7" => D <= x"04";
2534
             when x"0BB8" => D <= x"E6";
2535
             when x"0BB9" => D <= x"0F";
2536
             when x"0BBA" => D <= x"4F";
2537
             when x"0BBB" => D <= x"DD";
2538
             when x"0BBC" => D <= x"6E";
2539
             when x"0BBD" => D <= x"08";
2540
             when x"0BBE" => D <= x"DD";
2541
             when x"0BBF" => D <= x"66";
2542
             when x"0BC0" => D <= x"09";
2543
             when x"0BC1" => D <= x"E5";
2544
             when x"0BC2" => D <= x"DD";
2545
             when x"0BC3" => D <= x"6E";
2546
             when x"0BC4" => D <= x"06";
2547
             when x"0BC5" => D <= x"DD";
2548
             when x"0BC6" => D <= x"66";
2549
             when x"0BC7" => D <= x"07";
2550
             when x"0BC8" => D <= x"E5";
2551
             when x"0BC9" => D <= x"DD";
2552
             when x"0BCA" => D <= x"46";
2553
             when x"0BCB" => D <= x"05";
2554
             when x"0BCC" => D <= x"C5";
2555
             when x"0BCD" => D <= x"CD";
2556
             when x"0BCE" => D <= x"4F";
2557
             when x"0BCF" => D <= x"0B";
2558
             when x"0BD0" => D <= x"F1";
2559
             when x"0BD1" => D <= x"F1";
2560
             when x"0BD2" => D <= x"F1";
2561
             when x"0BD3" => D <= x"DD";
2562
             when x"0BD4" => D <= x"E1";
2563
             when x"0BD5" => D <= x"C9";
2564
             when x"0BD6" => D <= x"DD";
2565
             when x"0BD7" => D <= x"E5";
2566
             when x"0BD8" => D <= x"DD";
2567
             when x"0BD9" => D <= x"21";
2568
             when x"0BDA" => D <= x"00";
2569
             when x"0BDB" => D <= x"00";
2570
             when x"0BDC" => D <= x"DD";
2571
             when x"0BDD" => D <= x"39";
2572
             when x"0BDE" => D <= x"21";
2573
             when x"0BDF" => D <= x"F7";
2574
             when x"0BE0" => D <= x"FF";
2575
             when x"0BE1" => D <= x"39";
2576
             when x"0BE2" => D <= x"F9";
2577
             when x"0BE3" => D <= x"DD";
2578
             when x"0BE4" => D <= x"7E";
2579
             when x"0BE5" => D <= x"04";
2580
             when x"0BE6" => D <= x"DD";
2581
             when x"0BE7" => D <= x"77";
2582
             when x"0BE8" => D <= x"F7";
2583
             when x"0BE9" => D <= x"DD";
2584
             when x"0BEA" => D <= x"7E";
2585
             when x"0BEB" => D <= x"05";
2586
             when x"0BEC" => D <= x"DD";
2587
             when x"0BED" => D <= x"77";
2588
             when x"0BEE" => D <= x"F8";
2589
             when x"0BEF" => D <= x"DD";
2590
             when x"0BF0" => D <= x"6E";
2591
             when x"0BF1" => D <= x"F7";
2592
             when x"0BF2" => D <= x"DD";
2593
             when x"0BF3" => D <= x"66";
2594
             when x"0BF4" => D <= x"F8";
2595
             when x"0BF5" => D <= x"4E";
2596
             when x"0BF6" => D <= x"23";
2597
             when x"0BF7" => D <= x"46";
2598
             when x"0BF8" => D <= x"23";
2599
             when x"0BF9" => D <= x"5E";
2600
             when x"0BFA" => D <= x"23";
2601
             when x"0BFB" => D <= x"56";
2602
             when x"0BFC" => D <= x"DD";
2603
             when x"0BFD" => D <= x"71";
2604
             when x"0BFE" => D <= x"FC";
2605
             when x"0BFF" => D <= x"DD";
2606
             when x"0C00" => D <= x"70";
2607
             when x"0C01" => D <= x"FD";
2608
             when x"0C02" => D <= x"DD";
2609
             when x"0C03" => D <= x"73";
2610
             when x"0C04" => D <= x"FE";
2611
             when x"0C05" => D <= x"DD";
2612
             when x"0C06" => D <= x"72";
2613
             when x"0C07" => D <= x"FF";
2614
             when x"0C08" => D <= x"DD";
2615
             when x"0C09" => D <= x"7E";
2616
             when x"0C0A" => D <= x"F7";
2617
             when x"0C0B" => D <= x"C6";
2618
             when x"0C0C" => D <= x"04";
2619
             when x"0C0D" => D <= x"DD";
2620
             when x"0C0E" => D <= x"77";
2621
             when x"0C0F" => D <= x"FA";
2622
             when x"0C10" => D <= x"DD";
2623
             when x"0C11" => D <= x"7E";
2624
             when x"0C12" => D <= x"F8";
2625
             when x"0C13" => D <= x"CE";
2626
             when x"0C14" => D <= x"00";
2627
             when x"0C15" => D <= x"DD";
2628
             when x"0C16" => D <= x"77";
2629
             when x"0C17" => D <= x"FB";
2630
             when x"0C18" => D <= x"DD";
2631
             when x"0C19" => D <= x"36";
2632
             when x"0C1A" => D <= x"F9";
2633
             when x"0C1B" => D <= x"20";
2634
             when x"0C1C" => D <= x"DD";
2635
             when x"0C1D" => D <= x"6E";
2636
             when x"0C1E" => D <= x"FA";
2637
             when x"0C1F" => D <= x"DD";
2638
             when x"0C20" => D <= x"66";
2639
             when x"0C21" => D <= x"FB";
2640
             when x"0C22" => D <= x"7E";
2641
             when x"0C23" => D <= x"87";
2642
             when x"0C24" => D <= x"57";
2643
             when x"0C25" => D <= x"DD";
2644
             when x"0C26" => D <= x"7E";
2645
             when x"0C27" => D <= x"FF";
2646
             when x"0C28" => D <= x"CB";
2647
             when x"0C29" => D <= x"07";
2648
             when x"0C2A" => D <= x"E6";
2649
             when x"0C2B" => D <= x"01";
2650
             when x"0C2C" => D <= x"B2";
2651
             when x"0C2D" => D <= x"DD";
2652
             when x"0C2E" => D <= x"6E";
2653
             when x"0C2F" => D <= x"FA";
2654
             when x"0C30" => D <= x"DD";
2655
             when x"0C31" => D <= x"66";
2656
             when x"0C32" => D <= x"FB";
2657
             when x"0C33" => D <= x"77";
2658
             when x"0C34" => D <= x"3E";
2659
             when x"0C35" => D <= x"01";
2660
             when x"0C36" => D <= x"F5";
2661
             when x"0C37" => D <= x"33";
2662
             when x"0C38" => D <= x"DD";
2663
             when x"0C39" => D <= x"6E";
2664
             when x"0C3A" => D <= x"FE";
2665
             when x"0C3B" => D <= x"DD";
2666
             when x"0C3C" => D <= x"66";
2667
             when x"0C3D" => D <= x"FF";
2668
             when x"0C3E" => D <= x"E5";
2669
             when x"0C3F" => D <= x"DD";
2670
             when x"0C40" => D <= x"6E";
2671
             when x"0C41" => D <= x"FC";
2672
             when x"0C42" => D <= x"DD";
2673
             when x"0C43" => D <= x"66";
2674
             when x"0C44" => D <= x"FD";
2675
             when x"0C45" => D <= x"E5";
2676
             when x"0C46" => D <= x"CD";
2677
             when x"0C47" => D <= x"C3";
2678
             when x"0C48" => D <= x"16";
2679
             when x"0C49" => D <= x"F1";
2680
             when x"0C4A" => D <= x"F1";
2681
             when x"0C4B" => D <= x"33";
2682
             when x"0C4C" => D <= x"42";
2683
             when x"0C4D" => D <= x"4B";
2684
             when x"0C4E" => D <= x"DD";
2685
             when x"0C4F" => D <= x"75";
2686
             when x"0C50" => D <= x"FC";
2687
             when x"0C51" => D <= x"DD";
2688
             when x"0C52" => D <= x"74";
2689
             when x"0C53" => D <= x"FD";
2690
             when x"0C54" => D <= x"DD";
2691
             when x"0C55" => D <= x"71";
2692
             when x"0C56" => D <= x"FE";
2693
             when x"0C57" => D <= x"DD";
2694
             when x"0C58" => D <= x"70";
2695
             when x"0C59" => D <= x"FF";
2696
             when x"0C5A" => D <= x"DD";
2697
             when x"0C5B" => D <= x"6E";
2698
             when x"0C5C" => D <= x"FA";
2699
             when x"0C5D" => D <= x"DD";
2700
             when x"0C5E" => D <= x"66";
2701
             when x"0C5F" => D <= x"FB";
2702
             when x"0C60" => D <= x"6E";
2703
             when x"0C61" => D <= x"7D";
2704
             when x"0C62" => D <= x"DD";
2705
             when x"0C63" => D <= x"96";
2706
             when x"0C64" => D <= x"06";
2707
             when x"0C65" => D <= x"38";
2708
             when x"0C66" => D <= x"0F";
2709
             when x"0C67" => D <= x"7D";
2710
             when x"0C68" => D <= x"DD";
2711
             when x"0C69" => D <= x"96";
2712
             when x"0C6A" => D <= x"06";
2713
             when x"0C6B" => D <= x"DD";
2714
             when x"0C6C" => D <= x"6E";
2715
             when x"0C6D" => D <= x"FA";
2716
             when x"0C6E" => D <= x"DD";
2717
             when x"0C6F" => D <= x"66";
2718
             when x"0C70" => D <= x"FB";
2719
             when x"0C71" => D <= x"77";
2720
             when x"0C72" => D <= x"DD";
2721
             when x"0C73" => D <= x"CB";
2722
             when x"0C74" => D <= x"FC";
2723
             when x"0C75" => D <= x"C6";
2724
             when x"0C76" => D <= x"DD";
2725
             when x"0C77" => D <= x"35";
2726
             when x"0C78" => D <= x"F9";
2727
             when x"0C79" => D <= x"20";
2728
             when x"0C7A" => D <= x"A1";
2729
             when x"0C7B" => D <= x"DD";
2730
             when x"0C7C" => D <= x"6E";
2731
             when x"0C7D" => D <= x"F7";
2732
             when x"0C7E" => D <= x"DD";
2733
             when x"0C7F" => D <= x"66";
2734
             when x"0C80" => D <= x"F8";
2735
             when x"0C81" => D <= x"DD";
2736
             when x"0C82" => D <= x"7E";
2737
             when x"0C83" => D <= x"FC";
2738
             when x"0C84" => D <= x"77";
2739
             when x"0C85" => D <= x"23";
2740
             when x"0C86" => D <= x"DD";
2741
             when x"0C87" => D <= x"7E";
2742
             when x"0C88" => D <= x"FD";
2743
             when x"0C89" => D <= x"77";
2744
             when x"0C8A" => D <= x"23";
2745
             when x"0C8B" => D <= x"DD";
2746
             when x"0C8C" => D <= x"7E";
2747
             when x"0C8D" => D <= x"FE";
2748
             when x"0C8E" => D <= x"77";
2749
             when x"0C8F" => D <= x"23";
2750
             when x"0C90" => D <= x"DD";
2751
             when x"0C91" => D <= x"7E";
2752
             when x"0C92" => D <= x"FF";
2753
             when x"0C93" => D <= x"77";
2754
             when x"0C94" => D <= x"DD";
2755
             when x"0C95" => D <= x"F9";
2756
             when x"0C96" => D <= x"DD";
2757
             when x"0C97" => D <= x"E1";
2758
             when x"0C98" => D <= x"C9";
2759
             when x"0C99" => D <= x"DD";
2760
             when x"0C9A" => D <= x"E5";
2761
             when x"0C9B" => D <= x"DD";
2762
             when x"0C9C" => D <= x"21";
2763
             when x"0C9D" => D <= x"00";
2764
             when x"0C9E" => D <= x"00";
2765
             when x"0C9F" => D <= x"DD";
2766
             when x"0CA0" => D <= x"39";
2767
             when x"0CA1" => D <= x"21";
2768
             when x"0CA2" => D <= x"D1";
2769
             when x"0CA3" => D <= x"FF";
2770
             when x"0CA4" => D <= x"39";
2771
             when x"0CA5" => D <= x"F9";
2772
             when x"0CA6" => D <= x"DD";
2773
             when x"0CA7" => D <= x"36";
2774
             when x"0CA8" => D <= x"E0";
2775
             when x"0CA9" => D <= x"00";
2776
             when x"0CAA" => D <= x"DD";
2777
             when x"0CAB" => D <= x"36";
2778
             when x"0CAC" => D <= x"E1";
2779
             when x"0CAD" => D <= x"00";
2780
             when x"0CAE" => D <= x"21";
2781
             when x"0CAF" => D <= x"21";
2782
             when x"0CB0" => D <= x"00";
2783
             when x"0CB1" => D <= x"39";
2784
             when x"0CB2" => D <= x"DD";
2785
             when x"0CB3" => D <= x"75";
2786
             when x"0CB4" => D <= x"DC";
2787
             when x"0CB5" => D <= x"DD";
2788
             when x"0CB6" => D <= x"74";
2789
             when x"0CB7" => D <= x"DD";
2790
             when x"0CB8" => D <= x"21";
2791
             when x"0CB9" => D <= x"17";
2792
             when x"0CBA" => D <= x"00";
2793
             when x"0CBB" => D <= x"39";
2794
             when x"0CBC" => D <= x"7D";
2795
             when x"0CBD" => D <= x"C6";
2796
             when x"0CBE" => D <= x"05";
2797
             when x"0CBF" => D <= x"DD";
2798
             when x"0CC0" => D <= x"77";
2799
             when x"0CC1" => D <= x"E4";
2800
             when x"0CC2" => D <= x"7C";
2801
             when x"0CC3" => D <= x"CE";
2802
             when x"0CC4" => D <= x"00";
2803
             when x"0CC5" => D <= x"DD";
2804
             when x"0CC6" => D <= x"77";
2805
             when x"0CC7" => D <= x"E5";
2806
             when x"0CC8" => D <= x"21";
2807
             when x"0CC9" => D <= x"21";
2808
             when x"0CCA" => D <= x"00";
2809
             when x"0CCB" => D <= x"39";
2810
             when x"0CCC" => D <= x"DD";
2811
             when x"0CCD" => D <= x"75";
2812
             when x"0CCE" => D <= x"E2";
2813
             when x"0CCF" => D <= x"DD";
2814
             when x"0CD0" => D <= x"74";
2815
             when x"0CD1" => D <= x"E3";
2816
             when x"0CD2" => D <= x"DD";
2817
             when x"0CD3" => D <= x"6E";
2818
             when x"0CD4" => D <= x"08";
2819
             when x"0CD5" => D <= x"DD";
2820
             when x"0CD6" => D <= x"66";
2821
             when x"0CD7" => D <= x"09";
2822
             when x"0CD8" => D <= x"4E";
2823
             when x"0CD9" => D <= x"7D";
2824
             when x"0CDA" => D <= x"C6";
2825
             when x"0CDB" => D <= x"01";
2826
             when x"0CDC" => D <= x"DD";
2827
             when x"0CDD" => D <= x"77";
2828
             when x"0CDE" => D <= x"08";
2829
             when x"0CDF" => D <= x"7C";
2830
             when x"0CE0" => D <= x"CE";
2831
             when x"0CE1" => D <= x"00";
2832
             when x"0CE2" => D <= x"DD";
2833
             when x"0CE3" => D <= x"77";
2834
             when x"0CE4" => D <= x"09";
2835
             when x"0CE5" => D <= x"41";
2836
             when x"0CE6" => D <= x"AF";
2837
             when x"0CE7" => D <= x"B1";
2838
             when x"0CE8" => D <= x"CA";
2839
             when x"0CE9" => D <= x"79";
2840
             when x"0CEA" => D <= x"16";
2841
             when x"0CEB" => D <= x"78";
2842
             when x"0CEC" => D <= x"D6";
2843
             when x"0CED" => D <= x"25";
2844
             when x"0CEE" => D <= x"C2";
2845
             when x"0CEF" => D <= x"57";
2846
             when x"0CF0" => D <= x"16";
2847
             when x"0CF1" => D <= x"DD";
2848
             when x"0CF2" => D <= x"36";
2849
             when x"0CF3" => D <= x"FF";
2850
             when x"0CF4" => D <= x"00";
2851
             when x"0CF5" => D <= x"DD";
2852
             when x"0CF6" => D <= x"36";
2853
             when x"0CF7" => D <= x"FE";
2854
             when x"0CF8" => D <= x"00";
2855
             when x"0CF9" => D <= x"DD";
2856
             when x"0CFA" => D <= x"36";
2857
             when x"0CFB" => D <= x"FD";
2858
             when x"0CFC" => D <= x"00";
2859
             when x"0CFD" => D <= x"DD";
2860
             when x"0CFE" => D <= x"36";
2861
             when x"0CFF" => D <= x"FC";
2862
             when x"0D00" => D <= x"00";
2863
             when x"0D01" => D <= x"DD";
2864
             when x"0D02" => D <= x"36";
2865
             when x"0D03" => D <= x"FB";
2866
             when x"0D04" => D <= x"00";
2867
             when x"0D05" => D <= x"DD";
2868
             when x"0D06" => D <= x"36";
2869
             when x"0D07" => D <= x"FA";
2870
             when x"0D08" => D <= x"00";
2871
             when x"0D09" => D <= x"DD";
2872
             when x"0D0A" => D <= x"36";
2873
             when x"0D0B" => D <= x"F9";
2874
             when x"0D0C" => D <= x"00";
2875
             when x"0D0D" => D <= x"DD";
2876
             when x"0D0E" => D <= x"36";
2877
             when x"0D0F" => D <= x"F8";
2878
             when x"0D10" => D <= x"00";
2879
             when x"0D11" => D <= x"DD";
2880
             when x"0D12" => D <= x"36";
2881
             when x"0D13" => D <= x"F1";
2882
             when x"0D14" => D <= x"00";
2883
             when x"0D15" => D <= x"DD";
2884
             when x"0D16" => D <= x"36";
2885
             when x"0D17" => D <= x"F0";
2886
             when x"0D18" => D <= x"00";
2887
             when x"0D19" => D <= x"DD";
2888
             when x"0D1A" => D <= x"36";
2889
             when x"0D1B" => D <= x"EF";
2890
             when x"0D1C" => D <= x"FF";
2891
             when x"0D1D" => D <= x"DD";
2892
             when x"0D1E" => D <= x"5E";
2893
             when x"0D1F" => D <= x"08";
2894
             when x"0D20" => D <= x"DD";
2895
             when x"0D21" => D <= x"56";
2896
             when x"0D22" => D <= x"09";
2897
             when x"0D23" => D <= x"1A";
2898
             when x"0D24" => D <= x"4F";
2899
             when x"0D25" => D <= x"13";
2900
             when x"0D26" => D <= x"DD";
2901
             when x"0D27" => D <= x"73";
2902
             when x"0D28" => D <= x"08";
2903
             when x"0D29" => D <= x"DD";
2904
             when x"0D2A" => D <= x"72";
2905
             when x"0D2B" => D <= x"09";
2906
             when x"0D2C" => D <= x"41";
2907
             when x"0D2D" => D <= x"78";
2908
             when x"0D2E" => D <= x"D6";
2909
             when x"0D2F" => D <= x"25";
2910
             when x"0D30" => D <= x"20";
2911
             when x"0D31" => D <= x"21";
2912
             when x"0D32" => D <= x"DD";
2913
             when x"0D33" => D <= x"6E";
2914
             when x"0D34" => D <= x"06";
2915
             when x"0D35" => D <= x"DD";
2916
             when x"0D36" => D <= x"66";
2917
             when x"0D37" => D <= x"07";
2918
             when x"0D38" => D <= x"E5";
2919
             when x"0D39" => D <= x"C5";
2920
             when x"0D3A" => D <= x"33";
2921
             when x"0D3B" => D <= x"21";
2922
             when x"0D3C" => D <= x"46";
2923
             when x"0D3D" => D <= x"0D";
2924
             when x"0D3E" => D <= x"E5";
2925
             when x"0D3F" => D <= x"DD";
2926
             when x"0D40" => D <= x"6E";
2927
             when x"0D41" => D <= x"04";
2928
             when x"0D42" => D <= x"DD";
2929
             when x"0D43" => D <= x"66";
2930
             when x"0D44" => D <= x"05";
2931
             when x"0D45" => D <= x"E9";
2932
             when x"0D46" => D <= x"F1";
2933
             when x"0D47" => D <= x"33";
2934
             when x"0D48" => D <= x"DD";
2935
             when x"0D49" => D <= x"34";
2936
             when x"0D4A" => D <= x"E0";
2937
             when x"0D4B" => D <= x"20";
2938
             when x"0D4C" => D <= x"85";
2939
             when x"0D4D" => D <= x"DD";
2940
             when x"0D4E" => D <= x"34";
2941
             when x"0D4F" => D <= x"E1";
2942
             when x"0D50" => D <= x"C3";
2943
             when x"0D51" => D <= x"D2";
2944
             when x"0D52" => D <= x"0C";
2945
             when x"0D53" => D <= x"78";
2946
             when x"0D54" => D <= x"D6";
2947
             when x"0D55" => D <= x"30";
2948
             when x"0D56" => D <= x"38";
2949
             when x"0D57" => D <= x"3A";
2950
             when x"0D58" => D <= x"68";
2951
             when x"0D59" => D <= x"3E";
2952
             when x"0D5A" => D <= x"39";
2953
             when x"0D5B" => D <= x"95";
2954
             when x"0D5C" => D <= x"38";
2955
             when x"0D5D" => D <= x"34";
2956
             when x"0D5E" => D <= x"DD";
2957
             when x"0D5F" => D <= x"7E";
2958
             when x"0D60" => D <= x"EF";
2959
             when x"0D61" => D <= x"3C";
2960
             when x"0D62" => D <= x"20";
2961
             when x"0D63" => D <= x"1C";
2962
             when x"0D64" => D <= x"D5";
2963
             when x"0D65" => D <= x"DD";
2964
             when x"0D66" => D <= x"7E";
2965
             when x"0D67" => D <= x"F0";
2966
             when x"0D68" => D <= x"5F";
2967
             when x"0D69" => D <= x"87";
2968
             when x"0D6A" => D <= x"87";
2969
             when x"0D6B" => D <= x"83";
2970
             when x"0D6C" => D <= x"87";
2971
             when x"0D6D" => D <= x"D1";
2972
             when x"0D6E" => D <= x"80";
2973
             when x"0D6F" => D <= x"C6";
2974
             when x"0D70" => D <= x"D0";
2975
             when x"0D71" => D <= x"DD";
2976
             when x"0D72" => D <= x"77";
2977
             when x"0D73" => D <= x"F0";
2978
             when x"0D74" => D <= x"AF";
2979
             when x"0D75" => D <= x"DD";
2980
             when x"0D76" => D <= x"B6";
2981
             when x"0D77" => D <= x"F0";
2982
             when x"0D78" => D <= x"20";
2983
             when x"0D79" => D <= x"A9";
2984
             when x"0D7A" => D <= x"DD";
2985
             when x"0D7B" => D <= x"36";
2986
             when x"0D7C" => D <= x"FE";
2987
             when x"0D7D" => D <= x"01";
2988
             when x"0D7E" => D <= x"18";
2989
             when x"0D7F" => D <= x"A3";
2990
             when x"0D80" => D <= x"D5";
2991
             when x"0D81" => D <= x"DD";
2992
             when x"0D82" => D <= x"7E";
2993
             when x"0D83" => D <= x"EF";
2994
             when x"0D84" => D <= x"5F";
2995
             when x"0D85" => D <= x"87";
2996
             when x"0D86" => D <= x"87";
2997
             when x"0D87" => D <= x"83";
2998
             when x"0D88" => D <= x"87";
2999
             when x"0D89" => D <= x"D1";
3000
             when x"0D8A" => D <= x"80";
3001
             when x"0D8B" => D <= x"C6";
3002
             when x"0D8C" => D <= x"D0";
3003
             when x"0D8D" => D <= x"DD";
3004
             when x"0D8E" => D <= x"77";
3005
             when x"0D8F" => D <= x"EF";
3006
             when x"0D90" => D <= x"18";
3007
             when x"0D91" => D <= x"91";
3008
             when x"0D92" => D <= x"78";
3009
             when x"0D93" => D <= x"D6";
3010
             when x"0D94" => D <= x"2E";
3011
             when x"0D95" => D <= x"20";
3012
             when x"0D96" => D <= x"0D";
3013
             when x"0D97" => D <= x"DD";
3014
             when x"0D98" => D <= x"7E";
3015
             when x"0D99" => D <= x"EF";
3016
             when x"0D9A" => D <= x"3C";
3017
             when x"0D9B" => D <= x"20";
3018
             when x"0D9C" => D <= x"86";
3019
             when x"0D9D" => D <= x"DD";
3020
             when x"0D9E" => D <= x"36";
3021
             when x"0D9F" => D <= x"EF";
3022
             when x"0DA0" => D <= x"00";
3023
             when x"0DA1" => D <= x"C3";
3024
             when x"0DA2" => D <= x"23";
3025
             when x"0DA3" => D <= x"0D";
3026
             when x"0DA4" => D <= x"78";
3027
             when x"0DA5" => D <= x"D6";
3028
             when x"0DA6" => D <= x"61";
3029
             when x"0DA7" => D <= x"38";
3030
             when x"0DA8" => D <= x"10";
3031
             when x"0DA9" => D <= x"68";
3032
             when x"0DAA" => D <= x"3E";
3033
             when x"0DAB" => D <= x"7A";
3034
             when x"0DAC" => D <= x"95";
3035
             when x"0DAD" => D <= x"38";
3036
             when x"0DAE" => D <= x"0A";
3037
             when x"0DAF" => D <= x"78";
3038
             when x"0DB0" => D <= x"E6";
3039
             when x"0DB1" => D <= x"DF";
3040
             when x"0DB2" => D <= x"47";
3041
             when x"0DB3" => D <= x"DD";
3042
             when x"0DB4" => D <= x"36";
3043
             when x"0DB5" => D <= x"F7";
3044
             when x"0DB6" => D <= x"01";
3045
             when x"0DB7" => D <= x"18";
3046
             when x"0DB8" => D <= x"04";
3047
             when x"0DB9" => D <= x"DD";
3048
             when x"0DBA" => D <= x"36";
3049
             when x"0DBB" => D <= x"F7";
3050
             when x"0DBC" => D <= x"00";
3051
             when x"0DBD" => D <= x"78";
3052
             when x"0DBE" => D <= x"FE";
3053
             when x"0DBF" => D <= x"20";
3054
             when x"0DC0" => D <= x"28";
3055
             when x"0DC1" => D <= x"4D";
3056
             when x"0DC2" => D <= x"FE";
3057
             when x"0DC3" => D <= x"2B";
3058
             when x"0DC4" => D <= x"28";
3059
             when x"0DC5" => D <= x"42";
3060
             when x"0DC6" => D <= x"FE";
3061
             when x"0DC7" => D <= x"2D";
3062
             when x"0DC8" => D <= x"28";
3063
             when x"0DC9" => D <= x"37";
3064
             when x"0DCA" => D <= x"FE";
3065
             when x"0DCB" => D <= x"42";
3066
             when x"0DCC" => D <= x"28";
3067
             when x"0DCD" => D <= x"48";
3068
             when x"0DCE" => D <= x"FE";
3069
             when x"0DCF" => D <= x"43";
3070
             when x"0DD0" => D <= x"28";
3071
             when x"0DD1" => D <= x"52";
3072
             when x"0DD2" => D <= x"FE";
3073
             when x"0DD3" => D <= x"44";
3074
             when x"0DD4" => D <= x"CA";
3075
             when x"0DD5" => D <= x"A0";
3076
             when x"0DD6" => D <= x"10";
3077
             when x"0DD7" => D <= x"FE";
3078
             when x"0DD8" => D <= x"46";
3079
             when x"0DD9" => D <= x"CA";
3080
             when x"0DDA" => D <= x"BC";
3081
             when x"0DDB" => D <= x"10";
3082
             when x"0DDC" => D <= x"FE";
3083
             when x"0DDD" => D <= x"49";
3084
             when x"0DDE" => D <= x"CA";
3085
             when x"0DDF" => D <= x"A0";
3086
             when x"0DE0" => D <= x"10";
3087
             when x"0DE1" => D <= x"FE";
3088
             when x"0DE2" => D <= x"4C";
3089
             when x"0DE3" => D <= x"28";
3090
             when x"0DE4" => D <= x"38";
3091
             when x"0DE5" => D <= x"FE";
3092
             when x"0DE6" => D <= x"4F";
3093
             when x"0DE7" => D <= x"CA";
3094
             when x"0DE8" => D <= x"AA";
3095
             when x"0DE9" => D <= x"10";
3096
             when x"0DEA" => D <= x"FE";
3097
             when x"0DEB" => D <= x"50";
3098
             when x"0DEC" => D <= x"CA";
3099
             when x"0DED" => D <= x"E5";
3100
             when x"0DEE" => D <= x"0F";
3101
             when x"0DEF" => D <= x"FE";
3102
             when x"0DF0" => D <= x"53";
3103
             when x"0DF1" => D <= x"CA";
3104
             when x"0DF2" => D <= x"7A";
3105
             when x"0DF3" => D <= x"0E";
3106
             when x"0DF4" => D <= x"FE";
3107
             when x"0DF5" => D <= x"55";
3108
             when x"0DF6" => D <= x"CA";
3109
             when x"0DF7" => D <= x"B0";
3110
             when x"0DF8" => D <= x"10";
3111
             when x"0DF9" => D <= x"D6";
3112
             when x"0DFA" => D <= x"58";
3113
             when x"0DFB" => D <= x"CA";
3114
             when x"0DFC" => D <= x"B6";
3115
             when x"0DFD" => D <= x"10";
3116
             when x"0DFE" => D <= x"C3";
3117
             when x"0DFF" => D <= x"C2";
3118
             when x"0E00" => D <= x"10";
3119
             when x"0E01" => D <= x"DD";
3120
             when x"0E02" => D <= x"36";
3121
             when x"0E03" => D <= x"FF";
3122
             when x"0E04" => D <= x"01";
3123
             when x"0E05" => D <= x"C3";
3124
             when x"0E06" => D <= x"23";
3125
             when x"0E07" => D <= x"0D";
3126
             when x"0E08" => D <= x"DD";
3127
             when x"0E09" => D <= x"36";
3128
             when x"0E0A" => D <= x"FD";
3129
             when x"0E0B" => D <= x"01";
3130
             when x"0E0C" => D <= x"C3";
3131
             when x"0E0D" => D <= x"23";
3132
             when x"0E0E" => D <= x"0D";
3133
             when x"0E0F" => D <= x"DD";
3134
             when x"0E10" => D <= x"36";
3135
             when x"0E11" => D <= x"FC";
3136
             when x"0E12" => D <= x"01";
3137
             when x"0E13" => D <= x"C3";
3138
             when x"0E14" => D <= x"23";
3139
             when x"0E15" => D <= x"0D";
3140
             when x"0E16" => D <= x"DD";
3141
             when x"0E17" => D <= x"36";
3142
             when x"0E18" => D <= x"FA";
3143
             when x"0E19" => D <= x"01";
3144
             when x"0E1A" => D <= x"C3";
3145
             when x"0E1B" => D <= x"23";
3146
             when x"0E1C" => D <= x"0D";
3147
             when x"0E1D" => D <= x"DD";
3148
             when x"0E1E" => D <= x"36";
3149
             when x"0E1F" => D <= x"F9";
3150
             when x"0E20" => D <= x"01";
3151
             when x"0E21" => D <= x"C3";
3152
             when x"0E22" => D <= x"23";
3153
             when x"0E23" => D <= x"0D";
3154
             when x"0E24" => D <= x"DD";
3155
             when x"0E25" => D <= x"CB";
3156
             when x"0E26" => D <= x"FA";
3157
             when x"0E27" => D <= x"46";
3158
             when x"0E28" => D <= x"28";
3159
             when x"0E29" => D <= x"19";
3160
             when x"0E2A" => D <= x"DD";
3161
             when x"0E2B" => D <= x"7E";
3162
             when x"0E2C" => D <= x"0A";
3163
             when x"0E2D" => D <= x"C6";
3164
             when x"0E2E" => D <= x"01";
3165
             when x"0E2F" => D <= x"4F";
3166
             when x"0E30" => D <= x"DD";
3167
             when x"0E31" => D <= x"7E";
3168
             when x"0E32" => D <= x"0B";
3169
             when x"0E33" => D <= x"CE";
3170
             when x"0E34" => D <= x"00";
3171
             when x"0E35" => D <= x"5F";
3172
             when x"0E36" => D <= x"DD";
3173
             when x"0E37" => D <= x"71";
3174
             when x"0E38" => D <= x"0A";
3175
             when x"0E39" => D <= x"DD";
3176
             when x"0E3A" => D <= x"73";
3177
             when x"0E3B" => D <= x"0B";
3178
             when x"0E3C" => D <= x"69";
3179
             when x"0E3D" => D <= x"63";
3180
             when x"0E3E" => D <= x"2B";
3181
             when x"0E3F" => D <= x"7E";
3182
             when x"0E40" => D <= x"47";
3183
             when x"0E41" => D <= x"18";
3184
             when x"0E42" => D <= x"15";
3185
             when x"0E43" => D <= x"DD";
3186
             when x"0E44" => D <= x"5E";
3187
             when x"0E45" => D <= x"0A";
3188
             when x"0E46" => D <= x"DD";
3189
             when x"0E47" => D <= x"56";
3190
             when x"0E48" => D <= x"0B";
3191
             when x"0E49" => D <= x"13";
3192
             when x"0E4A" => D <= x"13";
3193
             when x"0E4B" => D <= x"DD";
3194
             when x"0E4C" => D <= x"73";
3195
             when x"0E4D" => D <= x"0A";
3196
             when x"0E4E" => D <= x"DD";
3197
             when x"0E4F" => D <= x"72";
3198
             when x"0E50" => D <= x"0B";
3199
             when x"0E51" => D <= x"6B";
3200
             when x"0E52" => D <= x"62";
3201
             when x"0E53" => D <= x"2B";
3202
             when x"0E54" => D <= x"2B";
3203
             when x"0E55" => D <= x"7E";
3204
             when x"0E56" => D <= x"23";
3205
             when x"0E57" => D <= x"47";
3206
             when x"0E58" => D <= x"DD";
3207
             when x"0E59" => D <= x"6E";
3208
             when x"0E5A" => D <= x"06";
3209
             when x"0E5B" => D <= x"DD";
3210
             when x"0E5C" => D <= x"66";
3211
             when x"0E5D" => D <= x"07";
3212
             when x"0E5E" => D <= x"E5";
3213
             when x"0E5F" => D <= x"C5";
3214
             when x"0E60" => D <= x"33";
3215
             when x"0E61" => D <= x"21";
3216
             when x"0E62" => D <= x"6C";
3217
             when x"0E63" => D <= x"0E";
3218
             when x"0E64" => D <= x"E5";
3219
             when x"0E65" => D <= x"DD";
3220
             when x"0E66" => D <= x"6E";
3221
             when x"0E67" => D <= x"04";
3222
             when x"0E68" => D <= x"DD";
3223
             when x"0E69" => D <= x"66";
3224
             when x"0E6A" => D <= x"05";
3225
             when x"0E6B" => D <= x"E9";
3226
             when x"0E6C" => D <= x"F1";
3227
             when x"0E6D" => D <= x"33";
3228
             when x"0E6E" => D <= x"DD";
3229
             when x"0E6F" => D <= x"34";
3230
             when x"0E70" => D <= x"E0";
3231
             when x"0E71" => D <= x"C2";
3232
             when x"0E72" => D <= x"EB";
3233
             when x"0E73" => D <= x"10";
3234
             when x"0E74" => D <= x"DD";
3235
             when x"0E75" => D <= x"34";
3236
             when x"0E76" => D <= x"E1";
3237
             when x"0E77" => D <= x"C3";
3238
             when x"0E78" => D <= x"EB";
3239
             when x"0E79" => D <= x"10";
3240
             when x"0E7A" => D <= x"21";
3241
             when x"0E7B" => D <= x"21";
3242
             when x"0E7C" => D <= x"00";
3243
             when x"0E7D" => D <= x"39";
3244
             when x"0E7E" => D <= x"DD";
3245
             when x"0E7F" => D <= x"75";
3246
             when x"0E80" => D <= x"DE";
3247
             when x"0E81" => D <= x"DD";
3248
             when x"0E82" => D <= x"74";
3249
             when x"0E83" => D <= x"DF";
3250
             when x"0E84" => D <= x"DD";
3251
             when x"0E85" => D <= x"7E";
3252
             when x"0E86" => D <= x"0A";
3253
             when x"0E87" => D <= x"C6";
3254
             when x"0E88" => D <= x"02";
3255
             when x"0E89" => D <= x"4F";
3256
             when x"0E8A" => D <= x"DD";
3257
             when x"0E8B" => D <= x"7E";
3258
             when x"0E8C" => D <= x"0B";
3259
             when x"0E8D" => D <= x"CE";
3260
             when x"0E8E" => D <= x"00";
3261
             when x"0E8F" => D <= x"5F";
3262
             when x"0E90" => D <= x"DD";
3263
             when x"0E91" => D <= x"71";
3264
             when x"0E92" => D <= x"0A";
3265
             when x"0E93" => D <= x"DD";
3266
             when x"0E94" => D <= x"73";
3267
             when x"0E95" => D <= x"0B";
3268
             when x"0E96" => D <= x"69";
3269
             when x"0E97" => D <= x"63";
3270
             when x"0E98" => D <= x"2B";
3271
             when x"0E99" => D <= x"2B";
3272
             when x"0E9A" => D <= x"5E";
3273
             when x"0E9B" => D <= x"23";
3274
             when x"0E9C" => D <= x"56";
3275
             when x"0E9D" => D <= x"DD";
3276
             when x"0E9E" => D <= x"6E";
3277
             when x"0E9F" => D <= x"DE";
3278
             when x"0EA0" => D <= x"DD";
3279
             when x"0EA1" => D <= x"66";
3280
             when x"0EA2" => D <= x"DF";
3281
             when x"0EA3" => D <= x"73";
3282
             when x"0EA4" => D <= x"23";
3283
             when x"0EA5" => D <= x"72";
3284
             when x"0EA6" => D <= x"D5";
3285
             when x"0EA7" => D <= x"CD";
3286
             when x"0EA8" => D <= x"40";
3287
             when x"0EA9" => D <= x"0B";
3288
             when x"0EAA" => D <= x"F1";
3289
             when x"0EAB" => D <= x"DD";
3290
             when x"0EAC" => D <= x"75";
3291
             when x"0EAD" => D <= x"DB";
3292
             when x"0EAE" => D <= x"DD";
3293
             when x"0EAF" => D <= x"7E";
3294
             when x"0EB0" => D <= x"EF";
3295
             when x"0EB1" => D <= x"3C";
3296
             when x"0EB2" => D <= x"20";
3297
             when x"0EB3" => D <= x"06";
3298
             when x"0EB4" => D <= x"DD";
3299
             when x"0EB5" => D <= x"7E";
3300
             when x"0EB6" => D <= x"DB";
3301
             when x"0EB7" => D <= x"DD";
3302
             when x"0EB8" => D <= x"77";
3303
             when x"0EB9" => D <= x"EF";
3304
             when x"0EBA" => D <= x"DD";
3305
             when x"0EBB" => D <= x"CB";
3306
             when x"0EBC" => D <= x"FF";
3307
             when x"0EBD" => D <= x"46";
3308
             when x"0EBE" => D <= x"20";
3309
             when x"0EBF" => D <= x"51";
3310
             when x"0EC0" => D <= x"DD";
3311
             when x"0EC1" => D <= x"7E";
3312
             when x"0EC2" => D <= x"DB";
3313
             when x"0EC3" => D <= x"DD";
3314
             when x"0EC4" => D <= x"96";
3315
             when x"0EC5" => D <= x"F0";
3316
             when x"0EC6" => D <= x"30";
3317
             when x"0EC7" => D <= x"49";
3318
             when x"0EC8" => D <= x"DD";
3319
             when x"0EC9" => D <= x"7E";
3320
             when x"0ECA" => D <= x"F0";
3321
             when x"0ECB" => D <= x"DD";
3322
             when x"0ECC" => D <= x"96";
3323
             when x"0ECD" => D <= x"DB";
3324
             when x"0ECE" => D <= x"DD";
3325
             when x"0ECF" => D <= x"77";
3326
             when x"0ED0" => D <= x"F0";
3327
             when x"0ED1" => D <= x"DD";
3328
             when x"0ED2" => D <= x"5E";
3329
             when x"0ED3" => D <= x"E0";
3330
             when x"0ED4" => D <= x"DD";
3331
             when x"0ED5" => D <= x"56";
3332
             when x"0ED6" => D <= x"E1";
3333
             when x"0ED7" => D <= x"DD";
3334
             when x"0ED8" => D <= x"4E";
3335
             when x"0ED9" => D <= x"F0";
3336
             when x"0EDA" => D <= x"69";
3337
             when x"0EDB" => D <= x"0D";
3338
             when x"0EDC" => D <= x"DD";
3339
             when x"0EDD" => D <= x"71";
3340
             when x"0EDE" => D <= x"F0";
3341
             when x"0EDF" => D <= x"AF";
3342
             when x"0EE0" => D <= x"B5";
3343
             when x"0EE1" => D <= x"28";
3344
             when x"0EE2" => D <= x"25";
3345
             when x"0EE3" => D <= x"C5";
3346
             when x"0EE4" => D <= x"D5";
3347
             when x"0EE5" => D <= x"DD";
3348
             when x"0EE6" => D <= x"6E";
3349
             when x"0EE7" => D <= x"06";
3350
             when x"0EE8" => D <= x"DD";
3351
             when x"0EE9" => D <= x"66";
3352
             when x"0EEA" => D <= x"07";
3353
             when x"0EEB" => D <= x"E5";
3354
             when x"0EEC" => D <= x"3E";
3355
             when x"0EED" => D <= x"20";
3356
             when x"0EEE" => D <= x"F5";
3357
             when x"0EEF" => D <= x"33";
3358
             when x"0EF0" => D <= x"21";
3359
             when x"0EF1" => D <= x"FB";
3360
             when x"0EF2" => D <= x"0E";
3361
             when x"0EF3" => D <= x"E5";
3362
             when x"0EF4" => D <= x"DD";
3363
             when x"0EF5" => D <= x"6E";
3364
             when x"0EF6" => D <= x"04";
3365
             when x"0EF7" => D <= x"DD";
3366
             when x"0EF8" => D <= x"66";
3367
             when x"0EF9" => D <= x"05";
3368
             when x"0EFA" => D <= x"E9";
3369
             when x"0EFB" => D <= x"F1";
3370
             when x"0EFC" => D <= x"33";
3371
             when x"0EFD" => D <= x"D1";
3372
             when x"0EFE" => D <= x"C1";
3373
             when x"0EFF" => D <= x"13";
3374
             when x"0F00" => D <= x"DD";
3375
             when x"0F01" => D <= x"73";
3376
             when x"0F02" => D <= x"E0";
3377
             when x"0F03" => D <= x"DD";
3378
             when x"0F04" => D <= x"72";
3379
             when x"0F05" => D <= x"E1";
3380
             when x"0F06" => D <= x"18";
3381
             when x"0F07" => D <= x"D2";
3382
             when x"0F08" => D <= x"DD";
3383
             when x"0F09" => D <= x"73";
3384
             when x"0F0A" => D <= x"E0";
3385
             when x"0F0B" => D <= x"DD";
3386
             when x"0F0C" => D <= x"72";
3387
             when x"0F0D" => D <= x"E1";
3388
             when x"0F0E" => D <= x"DD";
3389
             when x"0F0F" => D <= x"71";
3390
             when x"0F10" => D <= x"F0";
3391
             when x"0F11" => D <= x"DD";
3392
             when x"0F12" => D <= x"56";
3393
             when x"0F13" => D <= x"EF";
3394
             when x"0F14" => D <= x"DD";
3395
             when x"0F15" => D <= x"7E";
3396
             when x"0F16" => D <= x"E0";
3397
             when x"0F17" => D <= x"DD";
3398
             when x"0F18" => D <= x"77";
3399
             when x"0F19" => D <= x"DE";
3400
             when x"0F1A" => D <= x"DD";
3401
             when x"0F1B" => D <= x"7E";
3402
             when x"0F1C" => D <= x"E1";
3403
             when x"0F1D" => D <= x"DD";
3404
             when x"0F1E" => D <= x"77";
3405
             when x"0F1F" => D <= x"DF";
3406
             when x"0F20" => D <= x"DD";
3407
             when x"0F21" => D <= x"6E";
3408
             when x"0F22" => D <= x"DC";
3409
             when x"0F23" => D <= x"DD";
3410
             when x"0F24" => D <= x"66";
3411
             when x"0F25" => D <= x"DD";
3412
             when x"0F26" => D <= x"7E";
3413
             when x"0F27" => D <= x"23";
3414
             when x"0F28" => D <= x"66";
3415
             when x"0F29" => D <= x"6F";
3416
             when x"0F2A" => D <= x"6E";
3417
             when x"0F2B" => D <= x"45";
3418
             when x"0F2C" => D <= x"AF";
3419
             when x"0F2D" => D <= x"B5";
3420
             when x"0F2E" => D <= x"28";
3421
             when x"0F2F" => D <= x"58";
3422
             when x"0F30" => D <= x"6A";
3423
             when x"0F31" => D <= x"15";
3424
             when x"0F32" => D <= x"3E";
3425
             when x"0F33" => D <= x"00";
3426
             when x"0F34" => D <= x"95";
3427
             when x"0F35" => D <= x"E2";
3428
             when x"0F36" => D <= x"3A";
3429
             when x"0F37" => D <= x"0F";
3430
             when x"0F38" => D <= x"EE";
3431
             when x"0F39" => D <= x"80";
3432
             when x"0F3A" => D <= x"F2";
3433
             when x"0F3B" => D <= x"88";
3434
             when x"0F3C" => D <= x"0F";
3435
             when x"0F3D" => D <= x"D5";
3436
             when x"0F3E" => D <= x"DD";
3437
             when x"0F3F" => D <= x"6E";
3438
             when x"0F40" => D <= x"06";
3439
             when x"0F41" => D <= x"DD";
3440
             when x"0F42" => D <= x"66";
3441
             when x"0F43" => D <= x"07";
3442
             when x"0F44" => D <= x"E5";
3443
             when x"0F45" => D <= x"C5";
3444
             when x"0F46" => D <= x"33";
3445
             when x"0F47" => D <= x"21";
3446
             when x"0F48" => D <= x"52";
3447
             when x"0F49" => D <= x"0F";
3448
             when x"0F4A" => D <= x"E5";
3449
             when x"0F4B" => D <= x"DD";
3450
             when x"0F4C" => D <= x"6E";
3451
             when x"0F4D" => D <= x"04";
3452
             when x"0F4E" => D <= x"DD";
3453
             when x"0F4F" => D <= x"66";
3454
             when x"0F50" => D <= x"05";
3455
             when x"0F51" => D <= x"E9";
3456
             when x"0F52" => D <= x"F1";
3457
             when x"0F53" => D <= x"33";
3458
             when x"0F54" => D <= x"D1";
3459
             when x"0F55" => D <= x"DD";
3460
             when x"0F56" => D <= x"34";
3461
             when x"0F57" => D <= x"DE";
3462
             when x"0F58" => D <= x"20";
3463
             when x"0F59" => D <= x"03";
3464
             when x"0F5A" => D <= x"DD";
3465
             when x"0F5B" => D <= x"34";
3466
             when x"0F5C" => D <= x"DF";
3467
             when x"0F5D" => D <= x"DD";
3468
             when x"0F5E" => D <= x"7E";
3469
             when x"0F5F" => D <= x"DE";
3470
             when x"0F60" => D <= x"DD";
3471
             when x"0F61" => D <= x"77";
3472
             when x"0F62" => D <= x"E0";
3473
             when x"0F63" => D <= x"DD";
3474
             when x"0F64" => D <= x"7E";
3475
             when x"0F65" => D <= x"DF";
3476
             when x"0F66" => D <= x"DD";
3477
             when x"0F67" => D <= x"77";
3478
             when x"0F68" => D <= x"E1";
3479
             when x"0F69" => D <= x"21";
3480
             when x"0F6A" => D <= x"21";
3481
             when x"0F6B" => D <= x"00";
3482
             when x"0F6C" => D <= x"39";
3483
             when x"0F6D" => D <= x"DD";
3484
             when x"0F6E" => D <= x"75";
3485
             when x"0F6F" => D <= x"D9";
3486
             when x"0F70" => D <= x"DD";
3487
             when x"0F71" => D <= x"74";
3488
             when x"0F72" => D <= x"DA";
3489
             when x"0F73" => D <= x"7E";
3490
             when x"0F74" => D <= x"23";
3491
             when x"0F75" => D <= x"66";
3492
             when x"0F76" => D <= x"C6";
3493
             when x"0F77" => D <= x"01";
3494
             when x"0F78" => D <= x"5F";
3495
             when x"0F79" => D <= x"7C";
3496
             when x"0F7A" => D <= x"CE";
3497
             when x"0F7B" => D <= x"00";
3498
             when x"0F7C" => D <= x"4F";
3499
             when x"0F7D" => D <= x"DD";
3500
             when x"0F7E" => D <= x"6E";
3501
             when x"0F7F" => D <= x"D9";
3502
             when x"0F80" => D <= x"DD";
3503
             when x"0F81" => D <= x"66";
3504
             when x"0F82" => D <= x"DA";
3505
             when x"0F83" => D <= x"73";
3506
             when x"0F84" => D <= x"23";
3507
             when x"0F85" => D <= x"71";
3508
             when x"0F86" => D <= x"18";
3509
             when x"0F87" => D <= x"98";
3510
             when x"0F88" => D <= x"DD";
3511
             when x"0F89" => D <= x"7E";
3512
             when x"0F8A" => D <= x"DE";
3513
             when x"0F8B" => D <= x"DD";
3514
             when x"0F8C" => D <= x"77";
3515
             when x"0F8D" => D <= x"E0";
3516
             when x"0F8E" => D <= x"DD";
3517
             when x"0F8F" => D <= x"7E";
3518
             when x"0F90" => D <= x"DF";
3519
             when x"0F91" => D <= x"DD";
3520
             when x"0F92" => D <= x"77";
3521
             when x"0F93" => D <= x"E1";
3522
             when x"0F94" => D <= x"DD";
3523
             when x"0F95" => D <= x"CB";
3524
             when x"0F96" => D <= x"FF";
3525
             when x"0F97" => D <= x"46";
3526
             when x"0F98" => D <= x"CA";
3527
             when x"0F99" => D <= x"EB";
3528
             when x"0F9A" => D <= x"10";
3529
             when x"0F9B" => D <= x"DD";
3530
             when x"0F9C" => D <= x"7E";
3531
             when x"0F9D" => D <= x"DB";
3532
             when x"0F9E" => D <= x"DD";
3533
             when x"0F9F" => D <= x"96";
3534
             when x"0FA0" => D <= x"F0";
3535
             when x"0FA1" => D <= x"D2";
3536
             when x"0FA2" => D <= x"EB";
3537
             when x"0FA3" => D <= x"10";
3538
             when x"0FA4" => D <= x"DD";
3539
             when x"0FA5" => D <= x"7E";
3540
             when x"0FA6" => D <= x"F0";
3541
             when x"0FA7" => D <= x"DD";
3542
             when x"0FA8" => D <= x"96";
3543
             when x"0FA9" => D <= x"DB";
3544
             when x"0FAA" => D <= x"DD";
3545
             when x"0FAB" => D <= x"77";
3546
             when x"0FAC" => D <= x"F0";
3547
             when x"0FAD" => D <= x"DD";
3548
             when x"0FAE" => D <= x"5E";
3549
             when x"0FAF" => D <= x"DE";
3550
             when x"0FB0" => D <= x"DD";
3551
             when x"0FB1" => D <= x"56";
3552
             when x"0FB2" => D <= x"DF";
3553
             when x"0FB3" => D <= x"DD";
3554
             when x"0FB4" => D <= x"4E";
3555
             when x"0FB5" => D <= x"F0";
3556
             when x"0FB6" => D <= x"69";
3557
             when x"0FB7" => D <= x"0D";
3558
             when x"0FB8" => D <= x"DD";
3559
             when x"0FB9" => D <= x"71";
3560
             when x"0FBA" => D <= x"F0";
3561
             when x"0FBB" => D <= x"AF";
3562
             when x"0FBC" => D <= x"B5";
3563
             when x"0FBD" => D <= x"CA";
3564
             when x"0FBE" => D <= x"E2";
3565
             when x"0FBF" => D <= x"10";
3566
             when x"0FC0" => D <= x"C5";
3567
             when x"0FC1" => D <= x"D5";
3568
             when x"0FC2" => D <= x"DD";
3569
             when x"0FC3" => D <= x"6E";
3570
             when x"0FC4" => D <= x"06";
3571
             when x"0FC5" => D <= x"DD";
3572
             when x"0FC6" => D <= x"66";
3573
             when x"0FC7" => D <= x"07";
3574
             when x"0FC8" => D <= x"E5";
3575
             when x"0FC9" => D <= x"3E";
3576
             when x"0FCA" => D <= x"20";
3577
             when x"0FCB" => D <= x"F5";
3578
             when x"0FCC" => D <= x"33";
3579
             when x"0FCD" => D <= x"21";
3580
             when x"0FCE" => D <= x"D8";
3581
             when x"0FCF" => D <= x"0F";
3582
             when x"0FD0" => D <= x"E5";
3583
             when x"0FD1" => D <= x"DD";
3584
             when x"0FD2" => D <= x"6E";
3585
             when x"0FD3" => D <= x"04";
3586
             when x"0FD4" => D <= x"DD";
3587
             when x"0FD5" => D <= x"66";
3588
             when x"0FD6" => D <= x"05";
3589
             when x"0FD7" => D <= x"E9";
3590
             when x"0FD8" => D <= x"F1";
3591
             when x"0FD9" => D <= x"33";
3592
             when x"0FDA" => D <= x"D1";
3593
             when x"0FDB" => D <= x"C1";
3594
             when x"0FDC" => D <= x"13";
3595
             when x"0FDD" => D <= x"DD";
3596
             when x"0FDE" => D <= x"73";
3597
             when x"0FDF" => D <= x"E0";
3598
             when x"0FE0" => D <= x"DD";
3599
             when x"0FE1" => D <= x"72";
3600
             when x"0FE2" => D <= x"E1";
3601
             when x"0FE3" => D <= x"18";
3602
             when x"0FE4" => D <= x"D1";
3603
             when x"0FE5" => D <= x"21";
3604
             when x"0FE6" => D <= x"21";
3605
             when x"0FE7" => D <= x"00";
3606
             when x"0FE8" => D <= x"39";
3607
             when x"0FE9" => D <= x"4D";
3608
             when x"0FEA" => D <= x"44";
3609
             when x"0FEB" => D <= x"DD";
3610
             when x"0FEC" => D <= x"5E";
3611
             when x"0FED" => D <= x"0A";
3612
             when x"0FEE" => D <= x"DD";
3613
             when x"0FEF" => D <= x"56";
3614
             when x"0FF0" => D <= x"0B";
3615
             when x"0FF1" => D <= x"13";
3616
             when x"0FF2" => D <= x"13";
3617
             when x"0FF3" => D <= x"DD";
3618
             when x"0FF4" => D <= x"73";
3619
             when x"0FF5" => D <= x"0A";
3620
             when x"0FF6" => D <= x"DD";
3621
             when x"0FF7" => D <= x"72";
3622
             when x"0FF8" => D <= x"0B";
3623
             when x"0FF9" => D <= x"EB";
3624
             when x"0FFA" => D <= x"2B";
3625
             when x"0FFB" => D <= x"2B";
3626
             when x"0FFC" => D <= x"5E";
3627
             when x"0FFD" => D <= x"23";
3628
             when x"0FFE" => D <= x"56";
3629
             when x"0FFF" => D <= x"69";
3630
             when x"1000" => D <= x"60";
3631
             when x"1001" => D <= x"73";
3632
             when x"1002" => D <= x"23";
3633
             when x"1003" => D <= x"72";
3634
             when x"1004" => D <= x"DD";
3635
             when x"1005" => D <= x"6E";
3636
             when x"1006" => D <= x"06";
3637
             when x"1007" => D <= x"DD";
3638
             when x"1008" => D <= x"66";
3639
             when x"1009" => D <= x"07";
3640
             when x"100A" => D <= x"E5";
3641
             when x"100B" => D <= x"3E";
3642
             when x"100C" => D <= x"30";
3643
             when x"100D" => D <= x"F5";
3644
             when x"100E" => D <= x"33";
3645
             when x"100F" => D <= x"21";
3646
             when x"1010" => D <= x"1A";
3647
             when x"1011" => D <= x"10";
3648
             when x"1012" => D <= x"E5";
3649
             when x"1013" => D <= x"DD";
3650
             when x"1014" => D <= x"6E";
3651
             when x"1015" => D <= x"04";
3652
             when x"1016" => D <= x"DD";
3653
             when x"1017" => D <= x"66";
3654
             when x"1018" => D <= x"05";
3655
             when x"1019" => D <= x"E9";
3656
             when x"101A" => D <= x"F1";
3657
             when x"101B" => D <= x"33";
3658
             when x"101C" => D <= x"DD";
3659
             when x"101D" => D <= x"34";
3660
             when x"101E" => D <= x"E0";
3661
             when x"101F" => D <= x"20";
3662
             when x"1020" => D <= x"03";
3663
             when x"1021" => D <= x"DD";
3664
             when x"1022" => D <= x"34";
3665
             when x"1023" => D <= x"E1";
3666
             when x"1024" => D <= x"DD";
3667
             when x"1025" => D <= x"6E";
3668
             when x"1026" => D <= x"06";
3669
             when x"1027" => D <= x"DD";
3670
             when x"1028" => D <= x"66";
3671
             when x"1029" => D <= x"07";
3672
             when x"102A" => D <= x"E5";
3673
             when x"102B" => D <= x"3E";
3674
             when x"102C" => D <= x"78";
3675
             when x"102D" => D <= x"F5";
3676
             when x"102E" => D <= x"33";
3677
             when x"102F" => D <= x"21";
3678
             when x"1030" => D <= x"3A";
3679
             when x"1031" => D <= x"10";
3680
             when x"1032" => D <= x"E5";
3681
             when x"1033" => D <= x"DD";
3682
             when x"1034" => D <= x"6E";
3683
             when x"1035" => D <= x"04";
3684
             when x"1036" => D <= x"DD";
3685
             when x"1037" => D <= x"66";
3686
             when x"1038" => D <= x"05";
3687
             when x"1039" => D <= x"E9";
3688
             when x"103A" => D <= x"F1";
3689
             when x"103B" => D <= x"33";
3690
             when x"103C" => D <= x"DD";
3691
             when x"103D" => D <= x"34";
3692
             when x"103E" => D <= x"E0";
3693
             when x"103F" => D <= x"20";
3694
             when x"1040" => D <= x"03";
3695
             when x"1041" => D <= x"DD";
3696
             when x"1042" => D <= x"34";
3697
             when x"1043" => D <= x"E1";
3698
             when x"1044" => D <= x"21";
3699
             when x"1045" => D <= x"22";
3700
             when x"1046" => D <= x"00";
3701
             when x"1047" => D <= x"39";
3702
             when x"1048" => D <= x"4E";
3703
             when x"1049" => D <= x"DD";
3704
             when x"104A" => D <= x"6E";
3705
             when x"104B" => D <= x"06";
3706
             when x"104C" => D <= x"DD";
3707
             when x"104D" => D <= x"66";
3708
             when x"104E" => D <= x"07";
3709
             when x"104F" => D <= x"E5";
3710
             when x"1050" => D <= x"DD";
3711
             when x"1051" => D <= x"6E";
3712
             when x"1052" => D <= x"04";
3713
             when x"1053" => D <= x"DD";
3714
             when x"1054" => D <= x"66";
3715
             when x"1055" => D <= x"05";
3716
             when x"1056" => D <= x"E5";
3717
             when x"1057" => D <= x"DD";
3718
             when x"1058" => D <= x"46";
3719
             when x"1059" => D <= x"F7";
3720
             when x"105A" => D <= x"C5";
3721
             when x"105B" => D <= x"CD";
3722
             when x"105C" => D <= x"8A";
3723
             when x"105D" => D <= x"0B";
3724
             when x"105E" => D <= x"F1";
3725
             when x"105F" => D <= x"F1";
3726
             when x"1060" => D <= x"F1";
3727
             when x"1061" => D <= x"DD";
3728
             when x"1062" => D <= x"7E";
3729
             when x"1063" => D <= x"E0";
3730
             when x"1064" => D <= x"C6";
3731
             when x"1065" => D <= x"02";
3732
             when x"1066" => D <= x"DD";
3733
             when x"1067" => D <= x"77";
3734
             when x"1068" => D <= x"E0";
3735
             when x"1069" => D <= x"DD";
3736
             when x"106A" => D <= x"7E";
3737
             when x"106B" => D <= x"E1";
3738
             when x"106C" => D <= x"CE";
3739
             when x"106D" => D <= x"00";
3740
             when x"106E" => D <= x"DD";
3741
             when x"106F" => D <= x"77";
3742
             when x"1070" => D <= x"E1";
3743
             when x"1071" => D <= x"21";
3744
             when x"1072" => D <= x"21";
3745
             when x"1073" => D <= x"00";
3746
             when x"1074" => D <= x"39";
3747
             when x"1075" => D <= x"4E";
3748
             when x"1076" => D <= x"DD";
3749
             when x"1077" => D <= x"6E";
3750
             when x"1078" => D <= x"06";
3751
             when x"1079" => D <= x"DD";
3752
             when x"107A" => D <= x"66";
3753
             when x"107B" => D <= x"07";
3754
             when x"107C" => D <= x"E5";
3755
             when x"107D" => D <= x"DD";
3756
             when x"107E" => D <= x"6E";
3757
             when x"107F" => D <= x"04";
3758
             when x"1080" => D <= x"DD";
3759
             when x"1081" => D <= x"66";
3760
             when x"1082" => D <= x"05";
3761
             when x"1083" => D <= x"E5";
3762
             when x"1084" => D <= x"DD";
3763
             when x"1085" => D <= x"46";
3764
             when x"1086" => D <= x"F7";
3765
             when x"1087" => D <= x"C5";
3766
             when x"1088" => D <= x"CD";
3767
             when x"1089" => D <= x"8A";
3768
             when x"108A" => D <= x"0B";
3769
             when x"108B" => D <= x"F1";
3770
             when x"108C" => D <= x"F1";
3771
             when x"108D" => D <= x"F1";
3772
             when x"108E" => D <= x"DD";
3773
             when x"108F" => D <= x"7E";
3774
             when x"1090" => D <= x"E0";
3775
             when x"1091" => D <= x"C6";
3776
             when x"1092" => D <= x"02";
3777
             when x"1093" => D <= x"DD";
3778
             when x"1094" => D <= x"77";
3779
             when x"1095" => D <= x"E0";
3780
             when x"1096" => D <= x"DD";
3781
             when x"1097" => D <= x"7E";
3782
             when x"1098" => D <= x"E1";
3783
             when x"1099" => D <= x"CE";
3784
             when x"109A" => D <= x"00";
3785
             when x"109B" => D <= x"DD";
3786
             when x"109C" => D <= x"77";
3787
             when x"109D" => D <= x"E1";
3788
             when x"109E" => D <= x"18";
3789
             when x"109F" => D <= x"4B";
3790
             when x"10A0" => D <= x"DD";
3791
             when x"10A1" => D <= x"36";
3792
             when x"10A2" => D <= x"FB";
3793
             when x"10A3" => D <= x"01";
3794
             when x"10A4" => D <= x"DD";
3795
             when x"10A5" => D <= x"36";
3796
             when x"10A6" => D <= x"F1";
3797
             when x"10A7" => D <= x"0A";
3798
             when x"10A8" => D <= x"18";
3799
             when x"10A9" => D <= x"41";
3800
             when x"10AA" => D <= x"DD";
3801
             when x"10AB" => D <= x"36";
3802
             when x"10AC" => D <= x"F1";
3803
             when x"10AD" => D <= x"08";
3804
             when x"10AE" => D <= x"18";
3805
             when x"10AF" => D <= x"3B";
3806
             when x"10B0" => D <= x"DD";
3807
             when x"10B1" => D <= x"36";
3808
             when x"10B2" => D <= x"F1";
3809
             when x"10B3" => D <= x"0A";
3810
             when x"10B4" => D <= x"18";
3811
             when x"10B5" => D <= x"35";
3812
             when x"10B6" => D <= x"DD";
3813
             when x"10B7" => D <= x"36";
3814
             when x"10B8" => D <= x"F1";
3815
             when x"10B9" => D <= x"10";
3816
             when x"10BA" => D <= x"18";
3817
             when x"10BB" => D <= x"2F";
3818
             when x"10BC" => D <= x"DD";
3819
             when x"10BD" => D <= x"36";
3820
             when x"10BE" => D <= x"F8";
3821
             when x"10BF" => D <= x"01";
3822
             when x"10C0" => D <= x"18";
3823
             when x"10C1" => D <= x"29";
3824
             when x"10C2" => D <= x"DD";
3825
             when x"10C3" => D <= x"6E";
3826
             when x"10C4" => D <= x"06";
3827
             when x"10C5" => D <= x"DD";
3828
             when x"10C6" => D <= x"66";
3829
             when x"10C7" => D <= x"07";
3830
             when x"10C8" => D <= x"E5";
3831
             when x"10C9" => D <= x"C5";
3832
             when x"10CA" => D <= x"33";
3833
             when x"10CB" => D <= x"21";
3834
             when x"10CC" => D <= x"D6";
3835
             when x"10CD" => D <= x"10";
3836
             when x"10CE" => D <= x"E5";
3837
             when x"10CF" => D <= x"DD";
3838
             when x"10D0" => D <= x"6E";
3839
             when x"10D1" => D <= x"04";
3840
             when x"10D2" => D <= x"DD";
3841
             when x"10D3" => D <= x"66";
3842
             when x"10D4" => D <= x"05";
3843
             when x"10D5" => D <= x"E9";
3844
             when x"10D6" => D <= x"F1";
3845
             when x"10D7" => D <= x"33";
3846
             when x"10D8" => D <= x"DD";
3847
             when x"10D9" => D <= x"34";
3848
             when x"10DA" => D <= x"E0";
3849
             when x"10DB" => D <= x"20";
3850
             when x"10DC" => D <= x"0E";
3851
             when x"10DD" => D <= x"DD";
3852
             when x"10DE" => D <= x"34";
3853
             when x"10DF" => D <= x"E1";
3854
             when x"10E0" => D <= x"18";
3855
             when x"10E1" => D <= x"09";
3856
             when x"10E2" => D <= x"DD";
3857
             when x"10E3" => D <= x"73";
3858
             when x"10E4" => D <= x"E0";
3859
             when x"10E5" => D <= x"DD";
3860
             when x"10E6" => D <= x"72";
3861
             when x"10E7" => D <= x"E1";
3862
             when x"10E8" => D <= x"DD";
3863
             when x"10E9" => D <= x"71";
3864
             when x"10EA" => D <= x"F0";
3865
             when x"10EB" => D <= x"DD";
3866
             when x"10EC" => D <= x"CB";
3867
             when x"10ED" => D <= x"F8";
3868
             when x"10EE" => D <= x"46";
3869
             when x"10EF" => D <= x"CA";
3870
             when x"10F0" => D <= x"A8";
3871
             when x"10F1" => D <= x"11";
3872
             when x"10F2" => D <= x"21";
3873
             when x"10F3" => D <= x"21";
3874
             when x"10F4" => D <= x"00";
3875
             when x"10F5" => D <= x"39";
3876
             when x"10F6" => D <= x"45";
3877
             when x"10F7" => D <= x"54";
3878
             when x"10F8" => D <= x"DD";
3879
             when x"10F9" => D <= x"7E";
3880
             when x"10FA" => D <= x"0A";
3881
             when x"10FB" => D <= x"C6";
3882
             when x"10FC" => D <= x"04";
3883
             when x"10FD" => D <= x"4F";
3884
             when x"10FE" => D <= x"DD";
3885
             when x"10FF" => D <= x"7E";
3886
             when x"1100" => D <= x"0B";
3887
             when x"1101" => D <= x"CE";
3888
             when x"1102" => D <= x"00";
3889
             when x"1103" => D <= x"5F";
3890
             when x"1104" => D <= x"DD";
3891
             when x"1105" => D <= x"71";
3892
             when x"1106" => D <= x"0A";
3893
             when x"1107" => D <= x"DD";
3894
             when x"1108" => D <= x"73";
3895
             when x"1109" => D <= x"0B";
3896
             when x"110A" => D <= x"79";
3897
             when x"110B" => D <= x"C6";
3898
             when x"110C" => D <= x"FC";
3899
             when x"110D" => D <= x"6F";
3900
             when x"110E" => D <= x"7B";
3901
             when x"110F" => D <= x"CE";
3902
             when x"1110" => D <= x"FF";
3903
             when x"1111" => D <= x"67";
3904
             when x"1112" => D <= x"7E";
3905
             when x"1113" => D <= x"DD";
3906
             when x"1114" => D <= x"77";
3907
             when x"1115" => D <= x"D5";
3908
             when x"1116" => D <= x"23";
3909
             when x"1117" => D <= x"7E";
3910
             when x"1118" => D <= x"DD";
3911
             when x"1119" => D <= x"77";
3912
             when x"111A" => D <= x"D6";
3913
             when x"111B" => D <= x"23";
3914
             when x"111C" => D <= x"7E";
3915
             when x"111D" => D <= x"DD";
3916
             when x"111E" => D <= x"77";
3917
             when x"111F" => D <= x"D7";
3918
             when x"1120" => D <= x"23";
3919
             when x"1121" => D <= x"7E";
3920
             when x"1122" => D <= x"DD";
3921
             when x"1123" => D <= x"77";
3922
             when x"1124" => D <= x"D8";
3923
             when x"1125" => D <= x"68";
3924
             when x"1126" => D <= x"62";
3925
             when x"1127" => D <= x"DD";
3926
             when x"1128" => D <= x"7E";
3927
             when x"1129" => D <= x"D5";
3928
             when x"112A" => D <= x"77";
3929
             when x"112B" => D <= x"23";
3930
             when x"112C" => D <= x"DD";
3931
             when x"112D" => D <= x"7E";
3932
             when x"112E" => D <= x"D6";
3933
             when x"112F" => D <= x"77";
3934
             when x"1130" => D <= x"23";
3935
             when x"1131" => D <= x"DD";
3936
             when x"1132" => D <= x"7E";
3937
             when x"1133" => D <= x"D7";
3938
             when x"1134" => D <= x"77";
3939
             when x"1135" => D <= x"23";
3940
             when x"1136" => D <= x"DD";
3941
             when x"1137" => D <= x"7E";
3942
             when x"1138" => D <= x"D8";
3943
             when x"1139" => D <= x"77";
3944
             when x"113A" => D <= x"21";
3945
             when x"113B" => D <= x"21";
3946
             when x"113C" => D <= x"00";
3947
             when x"113D" => D <= x"39";
3948
             when x"113E" => D <= x"36";
3949
             when x"113F" => D <= x"84";
3950
             when x"1140" => D <= x"23";
3951
             when x"1141" => D <= x"36";
3952
             when x"1142" => D <= x"16";
3953
             when x"1143" => D <= x"DD";
3954
             when x"1144" => D <= x"7E";
3955
             when x"1145" => D <= x"E0";
3956
             when x"1146" => D <= x"DD";
3957
             when x"1147" => D <= x"77";
3958
             when x"1148" => D <= x"D5";
3959
             when x"1149" => D <= x"DD";
3960
             when x"114A" => D <= x"7E";
3961
             when x"114B" => D <= x"E1";
3962
             when x"114C" => D <= x"DD";
3963
             when x"114D" => D <= x"77";
3964
             when x"114E" => D <= x"D6";
3965
             when x"114F" => D <= x"21";
3966
             when x"1150" => D <= x"21";
3967
             when x"1151" => D <= x"00";
3968
             when x"1152" => D <= x"39";
3969
             when x"1153" => D <= x"45";
3970
             when x"1154" => D <= x"54";
3971
             when x"1155" => D <= x"7E";
3972
             when x"1156" => D <= x"DD";
3973
             when x"1157" => D <= x"77";
3974
             when x"1158" => D <= x"DE";
3975
             when x"1159" => D <= x"23";
3976
             when x"115A" => D <= x"7E";
3977
             when x"115B" => D <= x"DD";
3978
             when x"115C" => D <= x"77";
3979
             when x"115D" => D <= x"DF";
3980
             when x"115E" => D <= x"DD";
3981
             when x"115F" => D <= x"7E";
3982
             when x"1160" => D <= x"DE";
3983
             when x"1161" => D <= x"C6";
3984
             when x"1162" => D <= x"01";
3985
             when x"1163" => D <= x"5F";
3986
             when x"1164" => D <= x"DD";
3987
             when x"1165" => D <= x"7E";
3988
             when x"1166" => D <= x"DF";
3989
             when x"1167" => D <= x"CE";
3990
             when x"1168" => D <= x"00";
3991
             when x"1169" => D <= x"4F";
3992
             when x"116A" => D <= x"68";
3993
             when x"116B" => D <= x"62";
3994
             when x"116C" => D <= x"73";
3995
             when x"116D" => D <= x"23";
3996
             when x"116E" => D <= x"71";
3997
             when x"116F" => D <= x"DD";
3998
             when x"1170" => D <= x"6E";
3999
             when x"1171" => D <= x"DE";
4000
             when x"1172" => D <= x"DD";
4001
             when x"1173" => D <= x"66";
4002
             when x"1174" => D <= x"DF";
4003
             when x"1175" => D <= x"6E";
4004
             when x"1176" => D <= x"45";
4005
             when x"1177" => D <= x"AF";
4006
             when x"1178" => D <= x"B5";
4007
             when x"1179" => D <= x"CA";
4008
             when x"117A" => D <= x"D2";
4009
             when x"117B" => D <= x"0C";
4010
             when x"117C" => D <= x"DD";
4011
             when x"117D" => D <= x"6E";
4012
             when x"117E" => D <= x"06";
4013
             when x"117F" => D <= x"DD";
4014
             when x"1180" => D <= x"66";
4015
             when x"1181" => D <= x"07";
4016
             when x"1182" => D <= x"E5";
4017
             when x"1183" => D <= x"C5";
4018
             when x"1184" => D <= x"33";
4019
             when x"1185" => D <= x"21";
4020
             when x"1186" => D <= x"90";
4021
             when x"1187" => D <= x"11";
4022
             when x"1188" => D <= x"E5";
4023
             when x"1189" => D <= x"DD";
4024
             when x"118A" => D <= x"6E";
4025
             when x"118B" => D <= x"04";
4026
             when x"118C" => D <= x"DD";
4027
             when x"118D" => D <= x"66";
4028
             when x"118E" => D <= x"05";
4029
             when x"118F" => D <= x"E9";
4030
             when x"1190" => D <= x"F1";
4031
             when x"1191" => D <= x"33";
4032
             when x"1192" => D <= x"DD";
4033
             when x"1193" => D <= x"34";
4034
             when x"1194" => D <= x"D5";
4035
             when x"1195" => D <= x"20";
4036
             when x"1196" => D <= x"03";
4037
             when x"1197" => D <= x"DD";
4038
             when x"1198" => D <= x"34";
4039
             when x"1199" => D <= x"D6";
4040
             when x"119A" => D <= x"DD";
4041
             when x"119B" => D <= x"7E";
4042
             when x"119C" => D <= x"D5";
4043
             when x"119D" => D <= x"DD";
4044
             when x"119E" => D <= x"77";
4045
             when x"119F" => D <= x"E0";
4046
             when x"11A0" => D <= x"DD";
4047
             when x"11A1" => D <= x"7E";
4048
             when x"11A2" => D <= x"D6";
4049
             when x"11A3" => D <= x"DD";
4050
             when x"11A4" => D <= x"77";
4051
             when x"11A5" => D <= x"E1";
4052
             when x"11A6" => D <= x"18";
4053
             when x"11A7" => D <= x"A7";
4054
             when x"11A8" => D <= x"AF";
4055
             when x"11A9" => D <= x"DD";
4056
             when x"11AA" => D <= x"B6";
4057
             when x"11AB" => D <= x"F1";
4058
             when x"11AC" => D <= x"CA";
4059
             when x"11AD" => D <= x"D2";
4060
             when x"11AE" => D <= x"0C";
4061
             when x"11AF" => D <= x"DD";
4062
             when x"11B0" => D <= x"7E";
4063
             when x"11B1" => D <= x"E4";
4064
             when x"11B2" => D <= x"DD";
4065
             when x"11B3" => D <= x"77";
4066
             when x"11B4" => D <= x"E6";
4067
             when x"11B5" => D <= x"DD";
4068
             when x"11B6" => D <= x"7E";
4069
             when x"11B7" => D <= x"E5";
4070
             when x"11B8" => D <= x"DD";
4071
             when x"11B9" => D <= x"77";
4072
             when x"11BA" => D <= x"E7";
4073
             when x"11BB" => D <= x"DD";
4074
             when x"11BC" => D <= x"CB";
4075
             when x"11BD" => D <= x"FA";
4076
             when x"11BE" => D <= x"46";
4077
             when x"11BF" => D <= x"CA";
4078
             when x"11C0" => D <= x"42";
4079
             when x"11C1" => D <= x"12";
4080
             when x"11C2" => D <= x"21";
4081
             when x"11C3" => D <= x"21";
4082
             when x"11C4" => D <= x"00";
4083
             when x"11C5" => D <= x"39";
4084
             when x"11C6" => D <= x"45";
4085
             when x"11C7" => D <= x"5C";
4086
             when x"11C8" => D <= x"DD";
4087
             when x"11C9" => D <= x"7E";
4088
             when x"11CA" => D <= x"0A";
4089
             when x"11CB" => D <= x"C6";
4090
             when x"11CC" => D <= x"01";
4091
             when x"11CD" => D <= x"57";
4092
             when x"11CE" => D <= x"DD";
4093
             when x"11CF" => D <= x"7E";
4094
             when x"11D0" => D <= x"0B";
4095
             when x"11D1" => D <= x"CE";
4096
             when x"11D2" => D <= x"00";
4097
             when x"11D3" => D <= x"4F";
4098
             when x"11D4" => D <= x"DD";
4099
             when x"11D5" => D <= x"72";
4100
             when x"11D6" => D <= x"0A";
4101
             when x"11D7" => D <= x"DD";
4102
             when x"11D8" => D <= x"71";
4103
             when x"11D9" => D <= x"0B";
4104
             when x"11DA" => D <= x"6A";
4105
             when x"11DB" => D <= x"61";
4106
             when x"11DC" => D <= x"2B";
4107
             when x"11DD" => D <= x"6E";
4108
             when x"11DE" => D <= x"DD";
4109
             when x"11DF" => D <= x"75";
4110
             when x"11E0" => D <= x"D1";
4111
             when x"11E1" => D <= x"7D";
4112
             when x"11E2" => D <= x"17";
4113
             when x"11E3" => D <= x"9F";
4114
             when x"11E4" => D <= x"DD";
4115
             when x"11E5" => D <= x"77";
4116
             when x"11E6" => D <= x"D2";
4117
             when x"11E7" => D <= x"DD";
4118
             when x"11E8" => D <= x"77";
4119
             when x"11E9" => D <= x"D3";
4120
             when x"11EA" => D <= x"DD";
4121
             when x"11EB" => D <= x"77";
4122
             when x"11EC" => D <= x"D4";
4123
             when x"11ED" => D <= x"68";
4124
             when x"11EE" => D <= x"63";
4125
             when x"11EF" => D <= x"DD";
4126
             when x"11F0" => D <= x"7E";
4127
             when x"11F1" => D <= x"D1";
4128
             when x"11F2" => D <= x"77";
4129
             when x"11F3" => D <= x"23";
4130
             when x"11F4" => D <= x"DD";
4131
             when x"11F5" => D <= x"7E";
4132
             when x"11F6" => D <= x"D2";
4133
             when x"11F7" => D <= x"77";
4134
             when x"11F8" => D <= x"23";
4135
             when x"11F9" => D <= x"DD";
4136
             when x"11FA" => D <= x"7E";
4137
             when x"11FB" => D <= x"D3";
4138
             when x"11FC" => D <= x"77";
4139
             when x"11FD" => D <= x"23";
4140
             when x"11FE" => D <= x"DD";
4141
             when x"11FF" => D <= x"7E";
4142
             when x"1200" => D <= x"D4";
4143
             when x"1201" => D <= x"77";
4144
             when x"1202" => D <= x"DD";
4145
             when x"1203" => D <= x"CB";
4146
             when x"1204" => D <= x"FB";
4147
             when x"1205" => D <= x"46";
4148
             when x"1206" => D <= x"C2";
4149
             when x"1207" => D <= x"0D";
4150
             when x"1208" => D <= x"13";
4151
             when x"1209" => D <= x"68";
4152
             when x"120A" => D <= x"63";
4153
             when x"120B" => D <= x"7E";
4154
             when x"120C" => D <= x"DD";
4155
             when x"120D" => D <= x"77";
4156
             when x"120E" => D <= x"D1";
4157
             when x"120F" => D <= x"23";
4158
             when x"1210" => D <= x"7E";
4159
             when x"1211" => D <= x"DD";
4160
             when x"1212" => D <= x"77";
4161
             when x"1213" => D <= x"D2";
4162
             when x"1214" => D <= x"23";
4163
             when x"1215" => D <= x"7E";
4164
             when x"1216" => D <= x"DD";
4165
             when x"1217" => D <= x"77";
4166
             when x"1218" => D <= x"D3";
4167
             when x"1219" => D <= x"23";
4168
             when x"121A" => D <= x"7E";
4169
             when x"121B" => D <= x"DD";
4170
             when x"121C" => D <= x"77";
4171
             when x"121D" => D <= x"D4";
4172
             when x"121E" => D <= x"DD";
4173
             when x"121F" => D <= x"36";
4174
             when x"1220" => D <= x"D2";
4175
             when x"1221" => D <= x"00";
4176
             when x"1222" => D <= x"DD";
4177
             when x"1223" => D <= x"36";
4178
             when x"1224" => D <= x"D3";
4179
             when x"1225" => D <= x"00";
4180
             when x"1226" => D <= x"DD";
4181
             when x"1227" => D <= x"36";
4182
             when x"1228" => D <= x"D4";
4183
             when x"1229" => D <= x"00";
4184
             when x"122A" => D <= x"68";
4185
             when x"122B" => D <= x"63";
4186
             when x"122C" => D <= x"DD";
4187
             when x"122D" => D <= x"7E";
4188
             when x"122E" => D <= x"D1";
4189
             when x"122F" => D <= x"77";
4190
             when x"1230" => D <= x"23";
4191
             when x"1231" => D <= x"DD";
4192
             when x"1232" => D <= x"7E";
4193
             when x"1233" => D <= x"D2";
4194
             when x"1234" => D <= x"77";
4195
             when x"1235" => D <= x"23";
4196
             when x"1236" => D <= x"DD";
4197
             when x"1237" => D <= x"7E";
4198
             when x"1238" => D <= x"D3";
4199
             when x"1239" => D <= x"77";
4200
             when x"123A" => D <= x"23";
4201
             when x"123B" => D <= x"DD";
4202
             when x"123C" => D <= x"7E";
4203
             when x"123D" => D <= x"D4";
4204
             when x"123E" => D <= x"77";
4205
             when x"123F" => D <= x"C3";
4206
             when x"1240" => D <= x"0D";
4207
             when x"1241" => D <= x"13";
4208
             when x"1242" => D <= x"DD";
4209
             when x"1243" => D <= x"CB";
4210
             when x"1244" => D <= x"F9";
4211
             when x"1245" => D <= x"46";
4212
             when x"1246" => D <= x"28";
4213
             when x"1247" => D <= x"4A";
4214
             when x"1248" => D <= x"21";
4215
             when x"1249" => D <= x"21";
4216
             when x"124A" => D <= x"00";
4217
             when x"124B" => D <= x"39";
4218
             when x"124C" => D <= x"45";
4219
             when x"124D" => D <= x"54";
4220
             when x"124E" => D <= x"DD";
4221
             when x"124F" => D <= x"7E";
4222
             when x"1250" => D <= x"0A";
4223
             when x"1251" => D <= x"C6";
4224
             when x"1252" => D <= x"04";
4225
             when x"1253" => D <= x"4F";
4226
             when x"1254" => D <= x"DD";
4227
             when x"1255" => D <= x"7E";
4228
             when x"1256" => D <= x"0B";
4229
             when x"1257" => D <= x"CE";
4230
             when x"1258" => D <= x"00";
4231
             when x"1259" => D <= x"5F";
4232
             when x"125A" => D <= x"DD";
4233
             when x"125B" => D <= x"71";
4234
             when x"125C" => D <= x"0A";
4235
             when x"125D" => D <= x"DD";
4236
             when x"125E" => D <= x"73";
4237
             when x"125F" => D <= x"0B";
4238
             when x"1260" => D <= x"79";
4239
             when x"1261" => D <= x"C6";
4240
             when x"1262" => D <= x"FC";
4241
             when x"1263" => D <= x"6F";
4242
             when x"1264" => D <= x"7B";
4243
             when x"1265" => D <= x"CE";
4244
             when x"1266" => D <= x"FF";
4245
             when x"1267" => D <= x"67";
4246
             when x"1268" => D <= x"7E";
4247
             when x"1269" => D <= x"DD";
4248
             when x"126A" => D <= x"77";
4249
             when x"126B" => D <= x"D5";
4250
             when x"126C" => D <= x"23";
4251
             when x"126D" => D <= x"7E";
4252
             when x"126E" => D <= x"DD";
4253
             when x"126F" => D <= x"77";
4254
             when x"1270" => D <= x"D6";
4255
             when x"1271" => D <= x"23";
4256
             when x"1272" => D <= x"7E";
4257
             when x"1273" => D <= x"DD";
4258
             when x"1274" => D <= x"77";
4259
             when x"1275" => D <= x"D7";
4260
             when x"1276" => D <= x"23";
4261
             when x"1277" => D <= x"7E";
4262
             when x"1278" => D <= x"DD";
4263
             when x"1279" => D <= x"77";
4264
             when x"127A" => D <= x"D8";
4265
             when x"127B" => D <= x"68";
4266
             when x"127C" => D <= x"62";
4267
             when x"127D" => D <= x"DD";
4268
             when x"127E" => D <= x"7E";
4269
             when x"127F" => D <= x"D5";
4270
             when x"1280" => D <= x"77";
4271
             when x"1281" => D <= x"23";
4272
             when x"1282" => D <= x"DD";
4273
             when x"1283" => D <= x"7E";
4274
             when x"1284" => D <= x"D6";
4275
             when x"1285" => D <= x"77";
4276
             when x"1286" => D <= x"23";
4277
             when x"1287" => D <= x"DD";
4278
             when x"1288" => D <= x"7E";
4279
             when x"1289" => D <= x"D7";
4280
             when x"128A" => D <= x"77";
4281
             when x"128B" => D <= x"23";
4282
             when x"128C" => D <= x"DD";
4283
             when x"128D" => D <= x"7E";
4284
             when x"128E" => D <= x"D8";
4285
             when x"128F" => D <= x"77";
4286
             when x"1290" => D <= x"18";
4287
             when x"1291" => D <= x"7B";
4288
             when x"1292" => D <= x"21";
4289
             when x"1293" => D <= x"21";
4290
             when x"1294" => D <= x"00";
4291
             when x"1295" => D <= x"39";
4292
             when x"1296" => D <= x"45";
4293
             when x"1297" => D <= x"54";
4294
             when x"1298" => D <= x"DD";
4295
             when x"1299" => D <= x"7E";
4296
             when x"129A" => D <= x"0A";
4297
             when x"129B" => D <= x"C6";
4298
             when x"129C" => D <= x"02";
4299
             when x"129D" => D <= x"4F";
4300
             when x"129E" => D <= x"DD";
4301
             when x"129F" => D <= x"7E";
4302
             when x"12A0" => D <= x"0B";
4303
             when x"12A1" => D <= x"CE";
4304
             when x"12A2" => D <= x"00";
4305
             when x"12A3" => D <= x"5F";
4306
             when x"12A4" => D <= x"DD";
4307
             when x"12A5" => D <= x"71";
4308
             when x"12A6" => D <= x"0A";
4309
             when x"12A7" => D <= x"DD";
4310
             when x"12A8" => D <= x"73";
4311
             when x"12A9" => D <= x"0B";
4312
             when x"12AA" => D <= x"69";
4313
             when x"12AB" => D <= x"63";
4314
             when x"12AC" => D <= x"2B";
4315
             when x"12AD" => D <= x"2B";
4316
             when x"12AE" => D <= x"7E";
4317
             when x"12AF" => D <= x"23";
4318
             when x"12B0" => D <= x"66";
4319
             when x"12B1" => D <= x"DD";
4320
             when x"12B2" => D <= x"77";
4321
             when x"12B3" => D <= x"D5";
4322
             when x"12B4" => D <= x"DD";
4323
             when x"12B5" => D <= x"74";
4324
             when x"12B6" => D <= x"D6";
4325
             when x"12B7" => D <= x"7C";
4326
             when x"12B8" => D <= x"17";
4327
             when x"12B9" => D <= x"9F";
4328
             when x"12BA" => D <= x"DD";
4329
             when x"12BB" => D <= x"77";
4330
             when x"12BC" => D <= x"D7";
4331
             when x"12BD" => D <= x"DD";
4332
             when x"12BE" => D <= x"77";
4333
             when x"12BF" => D <= x"D8";
4334
             when x"12C0" => D <= x"68";
4335
             when x"12C1" => D <= x"62";
4336
             when x"12C2" => D <= x"DD";
4337
             when x"12C3" => D <= x"7E";
4338
             when x"12C4" => D <= x"D5";
4339
             when x"12C5" => D <= x"77";
4340
             when x"12C6" => D <= x"23";
4341
             when x"12C7" => D <= x"DD";
4342
             when x"12C8" => D <= x"7E";
4343
             when x"12C9" => D <= x"D6";
4344
             when x"12CA" => D <= x"77";
4345
             when x"12CB" => D <= x"23";
4346
             when x"12CC" => D <= x"DD";
4347
             when x"12CD" => D <= x"7E";
4348
             when x"12CE" => D <= x"D7";
4349
             when x"12CF" => D <= x"77";
4350
             when x"12D0" => D <= x"23";
4351
             when x"12D1" => D <= x"DD";
4352
             when x"12D2" => D <= x"7E";
4353
             when x"12D3" => D <= x"D8";
4354
             when x"12D4" => D <= x"77";
4355
             when x"12D5" => D <= x"DD";
4356
             when x"12D6" => D <= x"CB";
4357
             when x"12D7" => D <= x"FB";
4358
             when x"12D8" => D <= x"46";
4359
             when x"12D9" => D <= x"20";
4360
             when x"12DA" => D <= x"32";
4361
             when x"12DB" => D <= x"68";
4362
             when x"12DC" => D <= x"62";
4363
             when x"12DD" => D <= x"7E";
4364
             when x"12DE" => D <= x"DD";
4365
             when x"12DF" => D <= x"77";
4366
             when x"12E0" => D <= x"D5";
4367
             when x"12E1" => D <= x"23";
4368
             when x"12E2" => D <= x"7E";
4369
             when x"12E3" => D <= x"DD";
4370
             when x"12E4" => D <= x"77";
4371
             when x"12E5" => D <= x"D6";
4372
             when x"12E6" => D <= x"23";
4373
             when x"12E7" => D <= x"7E";
4374
             when x"12E8" => D <= x"DD";
4375
             when x"12E9" => D <= x"77";
4376
             when x"12EA" => D <= x"D7";
4377
             when x"12EB" => D <= x"23";
4378
             when x"12EC" => D <= x"7E";
4379
             when x"12ED" => D <= x"DD";
4380
             when x"12EE" => D <= x"77";
4381
             when x"12EF" => D <= x"D8";
4382
             when x"12F0" => D <= x"DD";
4383
             when x"12F1" => D <= x"36";
4384
             when x"12F2" => D <= x"D7";
4385
             when x"12F3" => D <= x"00";
4386
             when x"12F4" => D <= x"DD";
4387
             when x"12F5" => D <= x"36";
4388
             when x"12F6" => D <= x"D8";
4389
             when x"12F7" => D <= x"00";
4390
             when x"12F8" => D <= x"68";
4391
             when x"12F9" => D <= x"62";
4392
             when x"12FA" => D <= x"DD";
4393
             when x"12FB" => D <= x"7E";
4394
             when x"12FC" => D <= x"D5";
4395
             when x"12FD" => D <= x"77";
4396
             when x"12FE" => D <= x"23";
4397
             when x"12FF" => D <= x"DD";
4398
             when x"1300" => D <= x"7E";
4399
             when x"1301" => D <= x"D6";
4400
             when x"1302" => D <= x"77";
4401
             when x"1303" => D <= x"23";
4402
             when x"1304" => D <= x"DD";
4403
             when x"1305" => D <= x"7E";
4404
             when x"1306" => D <= x"D7";
4405
             when x"1307" => D <= x"77";
4406
             when x"1308" => D <= x"23";
4407
             when x"1309" => D <= x"DD";
4408
             when x"130A" => D <= x"7E";
4409
             when x"130B" => D <= x"D8";
4410
             when x"130C" => D <= x"77";
4411
             when x"130D" => D <= x"DD";
4412
             when x"130E" => D <= x"CB";
4413
             when x"130F" => D <= x"FB";
4414
             when x"1310" => D <= x"46";
4415
             when x"1311" => D <= x"28";
4416
             when x"1312" => D <= x"2E";
4417
             when x"1313" => D <= x"21";
4418
             when x"1314" => D <= x"21";
4419
             when x"1315" => D <= x"00";
4420
             when x"1316" => D <= x"39";
4421
             when x"1317" => D <= x"4E";
4422
             when x"1318" => D <= x"23";
4423
             when x"1319" => D <= x"46";
4424
             when x"131A" => D <= x"23";
4425
             when x"131B" => D <= x"5E";
4426
             when x"131C" => D <= x"23";
4427
             when x"131D" => D <= x"56";
4428
             when x"131E" => D <= x"2B";
4429
             when x"131F" => D <= x"2B";
4430
             when x"1320" => D <= x"2B";
4431
             when x"1321" => D <= x"CB";
4432
             when x"1322" => D <= x"7A";
4433
             when x"1323" => D <= x"28";
4434
             when x"1324" => D <= x"18";
4435
             when x"1325" => D <= x"AF";
4436
             when x"1326" => D <= x"99";
4437
             when x"1327" => D <= x"4F";
4438
             when x"1328" => D <= x"3E";
4439
             when x"1329" => D <= x"00";
4440
             when x"132A" => D <= x"98";
4441
             when x"132B" => D <= x"47";
4442
             when x"132C" => D <= x"3E";
4443
             when x"132D" => D <= x"00";
4444
             when x"132E" => D <= x"9B";
4445
             when x"132F" => D <= x"5F";
4446
             when x"1330" => D <= x"3E";
4447
             when x"1331" => D <= x"00";
4448
             when x"1332" => D <= x"9A";
4449
             when x"1333" => D <= x"57";
4450
             when x"1334" => D <= x"71";
4451
             when x"1335" => D <= x"23";
4452
             when x"1336" => D <= x"70";
4453
             when x"1337" => D <= x"23";
4454
             when x"1338" => D <= x"73";
4455
             when x"1339" => D <= x"23";
4456
             when x"133A" => D <= x"72";
4457
             when x"133B" => D <= x"18";
4458
             when x"133C" => D <= x"04";
4459
             when x"133D" => D <= x"DD";
4460
             when x"133E" => D <= x"36";
4461
             when x"133F" => D <= x"FB";
4462
             when x"1340" => D <= x"00";
4463
             when x"1341" => D <= x"06";
4464
             when x"1342" => D <= x"01";
4465
             when x"1343" => D <= x"DD";
4466
             when x"1344" => D <= x"7E";
4467
             when x"1345" => D <= x"E6";
4468
             when x"1346" => D <= x"DD";
4469
             when x"1347" => D <= x"77";
4470
             when x"1348" => D <= x"D1";
4471
             when x"1349" => D <= x"DD";
4472
             when x"134A" => D <= x"7E";
4473
             when x"134B" => D <= x"E7";
4474
             when x"134C" => D <= x"DD";
4475
             when x"134D" => D <= x"77";
4476
             when x"134E" => D <= x"D2";
4477
             when x"134F" => D <= x"DD";
4478
             when x"1350" => D <= x"36";
4479
             when x"1351" => D <= x"EE";
4480
             when x"1352" => D <= x"00";
4481
             when x"1353" => D <= x"21";
4482
             when x"1354" => D <= x"21";
4483
             when x"1355" => D <= x"00";
4484
             when x"1356" => D <= x"39";
4485
             when x"1357" => D <= x"7D";
4486
             when x"1358" => D <= x"5C";
4487
             when x"1359" => D <= x"C6";
4488
             when x"135A" => D <= x"04";
4489
             when x"135B" => D <= x"4F";
4490
             when x"135C" => D <= x"7B";
4491
             when x"135D" => D <= x"CE";
4492
             when x"135E" => D <= x"00";
4493
             when x"135F" => D <= x"67";
4494
             when x"1360" => D <= x"69";
4495
             when x"1361" => D <= x"36";
4496
             when x"1362" => D <= x"00";
4497
             when x"1363" => D <= x"21";
4498
             when x"1364" => D <= x"21";
4499
             when x"1365" => D <= x"00";
4500
             when x"1366" => D <= x"39";
4501
             when x"1367" => D <= x"EB";
4502
             when x"1368" => D <= x"C5";
4503
             when x"1369" => D <= x"DD";
4504
             when x"136A" => D <= x"7E";
4505
             when x"136B" => D <= x"F1";
4506
             when x"136C" => D <= x"F5";
4507
             when x"136D" => D <= x"33";
4508
             when x"136E" => D <= x"D5";
4509
             when x"136F" => D <= x"CD";
4510
             when x"1370" => D <= x"D6";
4511
             when x"1371" => D <= x"0B";
4512
             when x"1372" => D <= x"F1";
4513
             when x"1373" => D <= x"33";
4514
             when x"1374" => D <= x"C1";
4515
             when x"1375" => D <= x"CB";
4516
             when x"1376" => D <= x"40";
4517
             when x"1377" => D <= x"20";
4518
             when x"1378" => D <= x"45";
4519
             when x"1379" => D <= x"21";
4520
             when x"137A" => D <= x"25";
4521
             when x"137B" => D <= x"00";
4522
             when x"137C" => D <= x"39";
4523
             when x"137D" => D <= x"EB";
4524
             when x"137E" => D <= x"1A";
4525
             when x"137F" => D <= x"6F";
4526
             when x"1380" => D <= x"07";
4527
             when x"1381" => D <= x"07";
4528
             when x"1382" => D <= x"07";
4529
             when x"1383" => D <= x"07";
4530
             when x"1384" => D <= x"E6";
4531
             when x"1385" => D <= x"F0";
4532
             when x"1386" => D <= x"4F";
4533
             when x"1387" => D <= x"7D";
4534
             when x"1388" => D <= x"CB";
4535
             when x"1389" => D <= x"3F";
4536
             when x"138A" => D <= x"CB";
4537
             when x"138B" => D <= x"3F";
4538
             when x"138C" => D <= x"CB";
4539
             when x"138D" => D <= x"3F";
4540
             when x"138E" => D <= x"CB";
4541
             when x"138F" => D <= x"3F";
4542
             when x"1390" => D <= x"6F";
4543
             when x"1391" => D <= x"79";
4544
             when x"1392" => D <= x"B5";
4545
             when x"1393" => D <= x"4F";
4546
             when x"1394" => D <= x"DD";
4547
             when x"1395" => D <= x"6E";
4548
             when x"1396" => D <= x"D1";
4549
             when x"1397" => D <= x"DD";
4550
             when x"1398" => D <= x"66";
4551
             when x"1399" => D <= x"D2";
4552
             when x"139A" => D <= x"7E";
4553
             when x"139B" => D <= x"B1";
4554
             when x"139C" => D <= x"DD";
4555
             when x"139D" => D <= x"6E";
4556
             when x"139E" => D <= x"D1";
4557
             when x"139F" => D <= x"DD";
4558
             when x"13A0" => D <= x"66";
4559
             when x"13A1" => D <= x"D2";
4560
             when x"13A2" => D <= x"77";
4561
             when x"13A3" => D <= x"DD";
4562
             when x"13A4" => D <= x"6E";
4563
             when x"13A5" => D <= x"D1";
4564
             when x"13A6" => D <= x"DD";
4565
             when x"13A7" => D <= x"66";
4566
             when x"13A8" => D <= x"D2";
4567
             when x"13A9" => D <= x"2B";
4568
             when x"13AA" => D <= x"DD";
4569
             when x"13AB" => D <= x"75";
4570
             when x"13AC" => D <= x"D1";
4571
             when x"13AD" => D <= x"DD";
4572
             when x"13AE" => D <= x"74";
4573
             when x"13AF" => D <= x"D2";
4574
             when x"13B0" => D <= x"DD";
4575
             when x"13B1" => D <= x"7E";
4576
             when x"13B2" => D <= x"D1";
4577
             when x"13B3" => D <= x"DD";
4578
             when x"13B4" => D <= x"77";
4579
             when x"13B5" => D <= x"E6";
4580
             when x"13B6" => D <= x"DD";
4581
             when x"13B7" => D <= x"7E";
4582
             when x"13B8" => D <= x"D2";
4583
             when x"13B9" => D <= x"DD";
4584
             when x"13BA" => D <= x"77";
4585
             when x"13BB" => D <= x"E7";
4586
             when x"13BC" => D <= x"18";
4587
             when x"13BD" => D <= x"0D";
4588
             when x"13BE" => D <= x"21";
4589
             when x"13BF" => D <= x"25";
4590
             when x"13C0" => D <= x"00";
4591
             when x"13C1" => D <= x"39";
4592
             when x"13C2" => D <= x"EB";
4593
             when x"13C3" => D <= x"1A";
4594
             when x"13C4" => D <= x"DD";
4595
             when x"13C5" => D <= x"6E";
4596
             when x"13C6" => D <= x"D1";
4597
             when x"13C7" => D <= x"DD";
4598
             when x"13C8" => D <= x"66";
4599
             when x"13C9" => D <= x"D2";
4600
             when x"13CA" => D <= x"77";
4601
             when x"13CB" => D <= x"DD";
4602
             when x"13CC" => D <= x"34";
4603
             when x"13CD" => D <= x"EE";
4604
             when x"13CE" => D <= x"DD";
4605
             when x"13CF" => D <= x"7E";
4606
             when x"13D0" => D <= x"EE";
4607
             when x"13D1" => D <= x"DD";
4608
             when x"13D2" => D <= x"77";
4609
             when x"13D3" => D <= x"DB";
4610
             when x"13D4" => D <= x"78";
4611
             when x"13D5" => D <= x"EE";
4612
             when x"13D6" => D <= x"01";
4613
             when x"13D7" => D <= x"47";
4614
             when x"13D8" => D <= x"DD";
4615
             when x"13D9" => D <= x"6E";
4616
             when x"13DA" => D <= x"E2";
4617
             when x"13DB" => D <= x"DD";
4618
             when x"13DC" => D <= x"66";
4619
             when x"13DD" => D <= x"E3";
4620
             when x"13DE" => D <= x"7E";
4621
             when x"13DF" => D <= x"DD";
4622
             when x"13E0" => D <= x"77";
4623
             when x"13E1" => D <= x"D5";
4624
             when x"13E2" => D <= x"23";
4625
             when x"13E3" => D <= x"7E";
4626
             when x"13E4" => D <= x"DD";
4627
             when x"13E5" => D <= x"77";
4628
             when x"13E6" => D <= x"D6";
4629
             when x"13E7" => D <= x"23";
4630
             when x"13E8" => D <= x"7E";
4631
             when x"13E9" => D <= x"DD";
4632
             when x"13EA" => D <= x"77";
4633
             when x"13EB" => D <= x"D7";
4634
             when x"13EC" => D <= x"23";
4635
             when x"13ED" => D <= x"7E";
4636
             when x"13EE" => D <= x"DD";
4637
             when x"13EF" => D <= x"77";
4638
             when x"13F0" => D <= x"D8";
4639
             when x"13F1" => D <= x"DD";
4640
             when x"13F2" => D <= x"7E";
4641
             when x"13F3" => D <= x"D5";
4642
             when x"13F4" => D <= x"DD";
4643
             when x"13F5" => D <= x"B6";
4644
             when x"13F6" => D <= x"D6";
4645
             when x"13F7" => D <= x"DD";
4646
             when x"13F8" => D <= x"B6";
4647
             when x"13F9" => D <= x"D7";
4648
             when x"13FA" => D <= x"DD";
4649
             when x"13FB" => D <= x"B6";
4650
             when x"13FC" => D <= x"D8";
4651
             when x"13FD" => D <= x"C2";
4652
             when x"13FE" => D <= x"53";
4653
             when x"13FF" => D <= x"13";
4654
             when x"1400" => D <= x"DD";
4655
             when x"1401" => D <= x"7E";
4656
             when x"1402" => D <= x"D1";
4657
             when x"1403" => D <= x"DD";
4658
             when x"1404" => D <= x"77";
4659
             when x"1405" => D <= x"E6";
4660
             when x"1406" => D <= x"DD";
4661
             when x"1407" => D <= x"7E";
4662
             when x"1408" => D <= x"D2";
4663
             when x"1409" => D <= x"DD";
4664
             when x"140A" => D <= x"77";
4665
             when x"140B" => D <= x"E7";
4666
             when x"140C" => D <= x"DD";
4667
             when x"140D" => D <= x"7E";
4668
             when x"140E" => D <= x"EE";
4669
             when x"140F" => D <= x"DD";
4670
             when x"1410" => D <= x"77";
4671
             when x"1411" => D <= x"DB";
4672
             when x"1412" => D <= x"AF";
4673
             when x"1413" => D <= x"DD";
4674
             when x"1414" => D <= x"B6";
4675
             when x"1415" => D <= x"F0";
4676
             when x"1416" => D <= x"20";
4677
             when x"1417" => D <= x"04";
4678
             when x"1418" => D <= x"DD";
4679
             when x"1419" => D <= x"36";
4680
             when x"141A" => D <= x"F0";
4681
             when x"141B" => D <= x"01";
4682
             when x"141C" => D <= x"DD";
4683
             when x"141D" => D <= x"CB";
4684
             when x"141E" => D <= x"FE";
4685
             when x"141F" => D <= x"46";
4686
             when x"1420" => D <= x"20";
4687
             when x"1421" => D <= x"50";
4688
             when x"1422" => D <= x"DD";
4689
             when x"1423" => D <= x"CB";
4690
             when x"1424" => D <= x"FF";
4691
             when x"1425" => D <= x"46";
4692
             when x"1426" => D <= x"20";
4693
             when x"1427" => D <= x"4A";
4694
             when x"1428" => D <= x"DD";
4695
             when x"1429" => D <= x"4E";
4696
             when x"142A" => D <= x"DB";
4697
             when x"142B" => D <= x"0C";
4698
             when x"142C" => D <= x"DD";
4699
             when x"142D" => D <= x"5E";
4700
             when x"142E" => D <= x"E0";
4701
             when x"142F" => D <= x"DD";
4702
             when x"1430" => D <= x"56";
4703
             when x"1431" => D <= x"E1";
4704
             when x"1432" => D <= x"DD";
4705
             when x"1433" => D <= x"7E";
4706
             when x"1434" => D <= x"F0";
4707
             when x"1435" => D <= x"DD";
4708
             when x"1436" => D <= x"77";
4709
             when x"1437" => D <= x"D1";
4710
             when x"1438" => D <= x"79";
4711
             when x"1439" => D <= x"DD";
4712
             when x"143A" => D <= x"96";
4713
             when x"143B" => D <= x"D1";
4714
             when x"143C" => D <= x"30";
4715
             when x"143D" => D <= x"28";
4716
             when x"143E" => D <= x"C5";
4717
             when x"143F" => D <= x"D5";
4718
             when x"1440" => D <= x"DD";
4719
             when x"1441" => D <= x"6E";
4720
             when x"1442" => D <= x"06";
4721
             when x"1443" => D <= x"DD";
4722
             when x"1444" => D <= x"66";
4723
             when x"1445" => D <= x"07";
4724
             when x"1446" => D <= x"E5";
4725
             when x"1447" => D <= x"3E";
4726
             when x"1448" => D <= x"20";
4727
             when x"1449" => D <= x"F5";
4728
             when x"144A" => D <= x"33";
4729
             when x"144B" => D <= x"21";
4730
             when x"144C" => D <= x"56";
4731
             when x"144D" => D <= x"14";
4732
             when x"144E" => D <= x"E5";
4733
             when x"144F" => D <= x"DD";
4734
             when x"1450" => D <= x"6E";
4735
             when x"1451" => D <= x"04";
4736
             when x"1452" => D <= x"DD";
4737
             when x"1453" => D <= x"66";
4738
             when x"1454" => D <= x"05";
4739
             when x"1455" => D <= x"E9";
4740
             when x"1456" => D <= x"F1";
4741
             when x"1457" => D <= x"33";
4742
             when x"1458" => D <= x"D1";
4743
             when x"1459" => D <= x"C1";
4744
             when x"145A" => D <= x"13";
4745
             when x"145B" => D <= x"DD";
4746
             when x"145C" => D <= x"73";
4747
             when x"145D" => D <= x"E0";
4748
             when x"145E" => D <= x"DD";
4749
             when x"145F" => D <= x"72";
4750
             when x"1460" => D <= x"E1";
4751
             when x"1461" => D <= x"DD";
4752
             when x"1462" => D <= x"35";
4753
             when x"1463" => D <= x"D1";
4754
             when x"1464" => D <= x"18";
4755
             when x"1465" => D <= x"D2";
4756
             when x"1466" => D <= x"DD";
4757
             when x"1467" => D <= x"73";
4758
             when x"1468" => D <= x"E0";
4759
             when x"1469" => D <= x"DD";
4760
             when x"146A" => D <= x"72";
4761
             when x"146B" => D <= x"E1";
4762
             when x"146C" => D <= x"DD";
4763
             when x"146D" => D <= x"7E";
4764
             when x"146E" => D <= x"D1";
4765
             when x"146F" => D <= x"DD";
4766
             when x"1470" => D <= x"77";
4767
             when x"1471" => D <= x"F0";
4768
             when x"1472" => D <= x"DD";
4769
             when x"1473" => D <= x"CB";
4770
             when x"1474" => D <= x"FB";
4771
             when x"1475" => D <= x"46";
4772
             when x"1476" => D <= x"28";
4773
             when x"1477" => D <= x"27";
4774
             when x"1478" => D <= x"C5";
4775
             when x"1479" => D <= x"DD";
4776
             when x"147A" => D <= x"6E";
4777
             when x"147B" => D <= x"06";
4778
             when x"147C" => D <= x"DD";
4779
             when x"147D" => D <= x"66";
4780
             when x"147E" => D <= x"07";
4781
             when x"147F" => D <= x"E5";
4782
             when x"1480" => D <= x"3E";
4783
             when x"1481" => D <= x"2D";
4784
             when x"1482" => D <= x"F5";
4785
             when x"1483" => D <= x"33";
4786
             when x"1484" => D <= x"21";
4787
             when x"1485" => D <= x"8F";
4788
             when x"1486" => D <= x"14";
4789
             when x"1487" => D <= x"E5";
4790
             when x"1488" => D <= x"DD";
4791
             when x"1489" => D <= x"6E";
4792
             when x"148A" => D <= x"04";
4793
             when x"148B" => D <= x"DD";
4794
             when x"148C" => D <= x"66";
4795
             when x"148D" => D <= x"05";
4796
             when x"148E" => D <= x"E9";
4797
             when x"148F" => D <= x"F1";
4798
             when x"1490" => D <= x"33";
4799
             when x"1491" => D <= x"C1";
4800
             when x"1492" => D <= x"DD";
4801
             when x"1493" => D <= x"34";
4802
             when x"1494" => D <= x"E0";
4803
             when x"1495" => D <= x"20";
4804
             when x"1496" => D <= x"03";
4805
             when x"1497" => D <= x"DD";
4806
             when x"1498" => D <= x"34";
4807
             when x"1499" => D <= x"E1";
4808
             when x"149A" => D <= x"DD";
4809
             when x"149B" => D <= x"35";
4810
             when x"149C" => D <= x"F0";
4811
             when x"149D" => D <= x"18";
4812
             when x"149E" => D <= x"5E";
4813
             when x"149F" => D <= x"AF";
4814
             when x"14A0" => D <= x"DD";
4815
             when x"14A1" => D <= x"B6";
4816
             when x"14A2" => D <= x"DB";
4817
             when x"14A3" => D <= x"28";
4818
             when x"14A4" => D <= x"58";
4819
             when x"14A5" => D <= x"DD";
4820
             when x"14A6" => D <= x"CB";
4821
             when x"14A7" => D <= x"FD";
4822
             when x"14A8" => D <= x"46";
4823
             when x"14A9" => D <= x"28";
4824
             when x"14AA" => D <= x"27";
4825
             when x"14AB" => D <= x"C5";
4826
             when x"14AC" => D <= x"DD";
4827
             when x"14AD" => D <= x"6E";
4828
             when x"14AE" => D <= x"06";
4829
             when x"14AF" => D <= x"DD";
4830
             when x"14B0" => D <= x"66";
4831
             when x"14B1" => D <= x"07";
4832
             when x"14B2" => D <= x"E5";
4833
             when x"14B3" => D <= x"3E";
4834
             when x"14B4" => D <= x"2B";
4835
             when x"14B5" => D <= x"F5";
4836
             when x"14B6" => D <= x"33";
4837
             when x"14B7" => D <= x"21";
4838
             when x"14B8" => D <= x"C2";
4839
             when x"14B9" => D <= x"14";
4840
             when x"14BA" => D <= x"E5";
4841
             when x"14BB" => D <= x"DD";
4842
             when x"14BC" => D <= x"6E";
4843
             when x"14BD" => D <= x"04";
4844
             when x"14BE" => D <= x"DD";
4845
             when x"14BF" => D <= x"66";
4846
             when x"14C0" => D <= x"05";
4847
             when x"14C1" => D <= x"E9";
4848
             when x"14C2" => D <= x"F1";
4849
             when x"14C3" => D <= x"33";
4850
             when x"14C4" => D <= x"C1";
4851
             when x"14C5" => D <= x"DD";
4852
             when x"14C6" => D <= x"34";
4853
             when x"14C7" => D <= x"E0";
4854
             when x"14C8" => D <= x"20";
4855
             when x"14C9" => D <= x"03";
4856
             when x"14CA" => D <= x"DD";
4857
             when x"14CB" => D <= x"34";
4858
             when x"14CC" => D <= x"E1";
4859
             when x"14CD" => D <= x"DD";
4860
             when x"14CE" => D <= x"35";
4861
             when x"14CF" => D <= x"F0";
4862
             when x"14D0" => D <= x"18";
4863
             when x"14D1" => D <= x"2B";
4864
             when x"14D2" => D <= x"DD";
4865
             when x"14D3" => D <= x"CB";
4866
             when x"14D4" => D <= x"FC";
4867
             when x"14D5" => D <= x"46";
4868
             when x"14D6" => D <= x"28";
4869
             when x"14D7" => D <= x"25";
4870
             when x"14D8" => D <= x"C5";
4871
             when x"14D9" => D <= x"DD";
4872
             when x"14DA" => D <= x"6E";
4873
             when x"14DB" => D <= x"06";
4874
             when x"14DC" => D <= x"DD";
4875
             when x"14DD" => D <= x"66";
4876
             when x"14DE" => D <= x"07";
4877
             when x"14DF" => D <= x"E5";
4878
             when x"14E0" => D <= x"3E";
4879
             when x"14E1" => D <= x"20";
4880
             when x"14E2" => D <= x"F5";
4881
             when x"14E3" => D <= x"33";
4882
             when x"14E4" => D <= x"21";
4883
             when x"14E5" => D <= x"EF";
4884
             when x"14E6" => D <= x"14";
4885
             when x"14E7" => D <= x"E5";
4886
             when x"14E8" => D <= x"DD";
4887
             when x"14E9" => D <= x"6E";
4888
             when x"14EA" => D <= x"04";
4889
             when x"14EB" => D <= x"DD";
4890
             when x"14EC" => D <= x"66";
4891
             when x"14ED" => D <= x"05";
4892
             when x"14EE" => D <= x"E9";
4893
             when x"14EF" => D <= x"F1";
4894
             when x"14F0" => D <= x"33";
4895
             when x"14F1" => D <= x"C1";
4896
             when x"14F2" => D <= x"DD";
4897
             when x"14F3" => D <= x"34";
4898
             when x"14F4" => D <= x"E0";
4899
             when x"14F5" => D <= x"20";
4900
             when x"14F6" => D <= x"03";
4901
             when x"14F7" => D <= x"DD";
4902
             when x"14F8" => D <= x"34";
4903
             when x"14F9" => D <= x"E1";
4904
             when x"14FA" => D <= x"DD";
4905
             when x"14FB" => D <= x"35";
4906
             when x"14FC" => D <= x"F0";
4907
             when x"14FD" => D <= x"DD";
4908
             when x"14FE" => D <= x"CB";
4909
             when x"14FF" => D <= x"FF";
4910
             when x"1500" => D <= x"46";
4911
             when x"1501" => D <= x"20";
4912
             when x"1502" => D <= x"4A";
4913
             when x"1503" => D <= x"DD";
4914
             when x"1504" => D <= x"5E";
4915
             when x"1505" => D <= x"E0";
4916
             when x"1506" => D <= x"DD";
4917
             when x"1507" => D <= x"56";
4918
             when x"1508" => D <= x"E1";
4919
             when x"1509" => D <= x"DD";
4920
             when x"150A" => D <= x"4E";
4921
             when x"150B" => D <= x"F0";
4922
             when x"150C" => D <= x"69";
4923
             when x"150D" => D <= x"0D";
4924
             when x"150E" => D <= x"DD";
4925
             when x"150F" => D <= x"71";
4926
             when x"1510" => D <= x"F0";
4927
             when x"1511" => D <= x"DD";
4928
             when x"1512" => D <= x"7E";
4929
             when x"1513" => D <= x"DB";
4930
             when x"1514" => D <= x"95";
4931
             when x"1515" => D <= x"30";
4932
             when x"1516" => D <= x"4F";
4933
             when x"1517" => D <= x"DD";
4934
             when x"1518" => D <= x"CB";
4935
             when x"1519" => D <= x"FE";
4936
             when x"151A" => D <= x"46";
4937
             when x"151B" => D <= x"28";
4938
             when x"151C" => D <= x"06";
4939
             when x"151D" => D <= x"DD";
4940
             when x"151E" => D <= x"36";
4941
             when x"151F" => D <= x"D1";
4942
             when x"1520" => D <= x"30";
4943
             when x"1521" => D <= x"18";
4944
             when x"1522" => D <= x"04";
4945
             when x"1523" => D <= x"DD";
4946
             when x"1524" => D <= x"36";
4947
             when x"1525" => D <= x"D1";
4948
             when x"1526" => D <= x"20";
4949
             when x"1527" => D <= x"C5";
4950
             when x"1528" => D <= x"D5";
4951
             when x"1529" => D <= x"DD";
4952
             when x"152A" => D <= x"6E";
4953
             when x"152B" => D <= x"06";
4954
             when x"152C" => D <= x"DD";
4955
             when x"152D" => D <= x"66";
4956
             when x"152E" => D <= x"07";
4957
             when x"152F" => D <= x"E5";
4958
             when x"1530" => D <= x"DD";
4959
             when x"1531" => D <= x"7E";
4960
             when x"1532" => D <= x"D1";
4961
             when x"1533" => D <= x"F5";
4962
             when x"1534" => D <= x"33";
4963
             when x"1535" => D <= x"21";
4964
             when x"1536" => D <= x"40";
4965
             when x"1537" => D <= x"15";
4966
             when x"1538" => D <= x"E5";
4967
             when x"1539" => D <= x"DD";
4968
             when x"153A" => D <= x"6E";
4969
             when x"153B" => D <= x"04";
4970
             when x"153C" => D <= x"DD";
4971
             when x"153D" => D <= x"66";
4972
             when x"153E" => D <= x"05";
4973
             when x"153F" => D <= x"E9";
4974
             when x"1540" => D <= x"F1";
4975
             when x"1541" => D <= x"33";
4976
             when x"1542" => D <= x"D1";
4977
             when x"1543" => D <= x"C1";
4978
             when x"1544" => D <= x"13";
4979
             when x"1545" => D <= x"DD";
4980
             when x"1546" => D <= x"73";
4981
             when x"1547" => D <= x"E0";
4982
             when x"1548" => D <= x"DD";
4983
             when x"1549" => D <= x"72";
4984
             when x"154A" => D <= x"E1";
4985
             when x"154B" => D <= x"18";
4986
             when x"154C" => D <= x"BF";
4987
             when x"154D" => D <= x"DD";
4988
             when x"154E" => D <= x"7E";
4989
             when x"154F" => D <= x"DB";
4990
             when x"1550" => D <= x"DD";
4991
             when x"1551" => D <= x"96";
4992
             when x"1552" => D <= x"F0";
4993
             when x"1553" => D <= x"30";
4994
             when x"1554" => D <= x"0B";
4995
             when x"1555" => D <= x"DD";
4996
             when x"1556" => D <= x"7E";
4997
             when x"1557" => D <= x"F0";
4998
             when x"1558" => D <= x"DD";
4999
             when x"1559" => D <= x"96";
5000
             when x"155A" => D <= x"DB";
5001
             when x"155B" => D <= x"DD";
5002
             when x"155C" => D <= x"77";
5003
             when x"155D" => D <= x"F0";
5004
             when x"155E" => D <= x"18";
5005
             when x"155F" => D <= x"0F";
5006
             when x"1560" => D <= x"DD";
5007
             when x"1561" => D <= x"36";
5008
             when x"1562" => D <= x"F0";
5009
             when x"1563" => D <= x"00";
5010
             when x"1564" => D <= x"18";
5011
             when x"1565" => D <= x"09";
5012
             when x"1566" => D <= x"DD";
5013
             when x"1567" => D <= x"73";
5014
             when x"1568" => D <= x"E0";
5015
             when x"1569" => D <= x"DD";
5016
             when x"156A" => D <= x"72";
5017
             when x"156B" => D <= x"E1";
5018
             when x"156C" => D <= x"DD";
5019
             when x"156D" => D <= x"71";
5020
             when x"156E" => D <= x"F0";
5021
             when x"156F" => D <= x"DD";
5022
             when x"1570" => D <= x"7E";
5023
             when x"1571" => D <= x"E6";
5024
             when x"1572" => D <= x"DD";
5025
             when x"1573" => D <= x"77";
5026
             when x"1574" => D <= x"D9";
5027
             when x"1575" => D <= x"DD";
5028
             when x"1576" => D <= x"7E";
5029
             when x"1577" => D <= x"E7";
5030
             when x"1578" => D <= x"DD";
5031
             when x"1579" => D <= x"77";
5032
             when x"157A" => D <= x"DA";
5033
             when x"157B" => D <= x"DD";
5034
             when x"157C" => D <= x"7E";
5035
             when x"157D" => D <= x"E0";
5036
             when x"157E" => D <= x"DD";
5037
             when x"157F" => D <= x"77";
5038
             when x"1580" => D <= x"D1";
5039
             when x"1581" => D <= x"DD";
5040
             when x"1582" => D <= x"7E";
5041
             when x"1583" => D <= x"E1";
5042
             when x"1584" => D <= x"DD";
5043
             when x"1585" => D <= x"77";
5044
             when x"1586" => D <= x"D2";
5045
             when x"1587" => D <= x"DD";
5046
             when x"1588" => D <= x"7E";
5047
             when x"1589" => D <= x"DB";
5048
             when x"158A" => D <= x"DD";
5049
             when x"158B" => D <= x"77";
5050
             when x"158C" => D <= x"D5";
5051
             when x"158D" => D <= x"DD";
5052
             when x"158E" => D <= x"6E";
5053
             when x"158F" => D <= x"D5";
5054
             when x"1590" => D <= x"DD";
5055
             when x"1591" => D <= x"35";
5056
             when x"1592" => D <= x"D5";
5057
             when x"1593" => D <= x"AF";
5058
             when x"1594" => D <= x"B5";
5059
             when x"1595" => D <= x"28";
5060
             when x"1596" => D <= x"78";
5061
             when x"1597" => D <= x"78";
5062
             when x"1598" => D <= x"EE";
5063
             when x"1599" => D <= x"01";
5064
             when x"159A" => D <= x"47";
5065
             when x"159B" => D <= x"CB";
5066
             when x"159C" => D <= x"40";
5067
             when x"159D" => D <= x"20";
5068
             when x"159E" => D <= x"29";
5069
             when x"159F" => D <= x"DD";
5070
             when x"15A0" => D <= x"34";
5071
             when x"15A1" => D <= x"D9";
5072
             when x"15A2" => D <= x"20";
5073
             when x"15A3" => D <= x"03";
5074
             when x"15A4" => D <= x"DD";
5075
             when x"15A5" => D <= x"34";
5076
             when x"15A6" => D <= x"DA";
5077
             when x"15A7" => D <= x"21";
5078
             when x"15A8" => D <= x"21";
5079
             when x"15A9" => D <= x"00";
5080
             when x"15AA" => D <= x"39";
5081
             when x"15AB" => D <= x"7D";
5082
             when x"15AC" => D <= x"5C";
5083
             when x"15AD" => D <= x"C6";
5084
             when x"15AE" => D <= x"04";
5085
             when x"15AF" => D <= x"4F";
5086
             when x"15B0" => D <= x"7B";
5087
             when x"15B1" => D <= x"CE";
5088
             when x"15B2" => D <= x"00";
5089
             when x"15B3" => D <= x"5F";
5090
             when x"15B4" => D <= x"DD";
5091
             when x"15B5" => D <= x"6E";
5092
             when x"15B6" => D <= x"D9";
5093
             when x"15B7" => D <= x"DD";
5094
             when x"15B8" => D <= x"66";
5095
             when x"15B9" => D <= x"DA";
5096
             when x"15BA" => D <= x"56";
5097
             when x"15BB" => D <= x"CB";
5098
             when x"15BC" => D <= x"3A";
5099
             when x"15BD" => D <= x"CB";
5100
             when x"15BE" => D <= x"3A";
5101
             when x"15BF" => D <= x"CB";
5102
             when x"15C0" => D <= x"3A";
5103
             when x"15C1" => D <= x"CB";
5104
             when x"15C2" => D <= x"3A";
5105
             when x"15C3" => D <= x"69";
5106
             when x"15C4" => D <= x"63";
5107
             when x"15C5" => D <= x"72";
5108
             when x"15C6" => D <= x"18";
5109
             when x"15C7" => D <= x"0F";
5110
             when x"15C8" => D <= x"21";
5111
             when x"15C9" => D <= x"25";
5112
             when x"15CA" => D <= x"00";
5113
             when x"15CB" => D <= x"39";
5114
             when x"15CC" => D <= x"EB";
5115
             when x"15CD" => D <= x"DD";
5116
             when x"15CE" => D <= x"6E";
5117
             when x"15CF" => D <= x"D9";
5118
             when x"15D0" => D <= x"DD";
5119
             when x"15D1" => D <= x"66";
5120
             when x"15D2" => D <= x"DA";
5121
             when x"15D3" => D <= x"7E";
5122
             when x"15D4" => D <= x"E6";
5123
             when x"15D5" => D <= x"0F";
5124
             when x"15D6" => D <= x"12";
5125
             when x"15D7" => D <= x"21";
5126
             when x"15D8" => D <= x"25";
5127
             when x"15D9" => D <= x"00";
5128
             when x"15DA" => D <= x"39";
5129
             when x"15DB" => D <= x"EB";
5130
             when x"15DC" => D <= x"1A";
5131
             when x"15DD" => D <= x"4F";
5132
             when x"15DE" => D <= x"C5";
5133
             when x"15DF" => D <= x"DD";
5134
             when x"15E0" => D <= x"6E";
5135
             when x"15E1" => D <= x"06";
5136
             when x"15E2" => D <= x"DD";
5137
             when x"15E3" => D <= x"66";
5138
             when x"15E4" => D <= x"07";
5139
             when x"15E5" => D <= x"E5";
5140
             when x"15E6" => D <= x"DD";
5141
             when x"15E7" => D <= x"6E";
5142
             when x"15E8" => D <= x"04";
5143
             when x"15E9" => D <= x"DD";
5144
             when x"15EA" => D <= x"66";
5145
             when x"15EB" => D <= x"05";
5146
             when x"15EC" => D <= x"E5";
5147
             when x"15ED" => D <= x"DD";
5148
             when x"15EE" => D <= x"46";
5149
             when x"15EF" => D <= x"F7";
5150
             when x"15F0" => D <= x"C5";
5151
             when x"15F1" => D <= x"CD";
5152
             when x"15F2" => D <= x"4F";
5153
             when x"15F3" => D <= x"0B";
5154
             when x"15F4" => D <= x"F1";
5155
             when x"15F5" => D <= x"F1";
5156
             when x"15F6" => D <= x"F1";
5157
             when x"15F7" => D <= x"C1";
5158
             when x"15F8" => D <= x"DD";
5159
             when x"15F9" => D <= x"34";
5160
             when x"15FA" => D <= x"D1";
5161
             when x"15FB" => D <= x"20";
5162
             when x"15FC" => D <= x"03";
5163
             when x"15FD" => D <= x"DD";
5164
             when x"15FE" => D <= x"34";
5165
             when x"15FF" => D <= x"D2";
5166
             when x"1600" => D <= x"DD";
5167
             when x"1601" => D <= x"7E";
5168
             when x"1602" => D <= x"D1";
5169
             when x"1603" => D <= x"DD";
5170
             when x"1604" => D <= x"77";
5171
             when x"1605" => D <= x"E0";
5172
             when x"1606" => D <= x"DD";
5173
             when x"1607" => D <= x"7E";
5174
             when x"1608" => D <= x"D2";
5175
             when x"1609" => D <= x"DD";
5176
             when x"160A" => D <= x"77";
5177
             when x"160B" => D <= x"E1";
5178
             when x"160C" => D <= x"C3";
5179
             when x"160D" => D <= x"8D";
5180
             when x"160E" => D <= x"15";
5181
             when x"160F" => D <= x"DD";
5182
             when x"1610" => D <= x"7E";
5183
             when x"1611" => D <= x"D1";
5184
             when x"1612" => D <= x"DD";
5185
             when x"1613" => D <= x"77";
5186
             when x"1614" => D <= x"E0";
5187
             when x"1615" => D <= x"DD";
5188
             when x"1616" => D <= x"7E";
5189
             when x"1617" => D <= x"D2";
5190
             when x"1618" => D <= x"DD";
5191
             when x"1619" => D <= x"77";
5192
             when x"161A" => D <= x"E1";
5193
             when x"161B" => D <= x"DD";
5194
             when x"161C" => D <= x"CB";
5195
             when x"161D" => D <= x"FF";
5196
             when x"161E" => D <= x"46";
5197
             when x"161F" => D <= x"CA";
5198
             when x"1620" => D <= x"D2";
5199
             when x"1621" => D <= x"0C";
5200
             when x"1622" => D <= x"DD";
5201
             when x"1623" => D <= x"5E";
5202
             when x"1624" => D <= x"D1";
5203
             when x"1625" => D <= x"DD";
5204
             when x"1626" => D <= x"56";
5205
             when x"1627" => D <= x"D2";
5206
             when x"1628" => D <= x"DD";
5207
             when x"1629" => D <= x"4E";
5208
             when x"162A" => D <= x"F0";
5209
             when x"162B" => D <= x"69";
5210
             when x"162C" => D <= x"0D";
5211
             when x"162D" => D <= x"AF";
5212
             when x"162E" => D <= x"B5";
5213
             when x"162F" => D <= x"CA";
5214
             when x"1630" => D <= x"D2";
5215
             when x"1631" => D <= x"0C";
5216
             when x"1632" => D <= x"C5";
5217
             when x"1633" => D <= x"D5";
5218
             when x"1634" => D <= x"DD";
5219
             when x"1635" => D <= x"6E";
5220
             when x"1636" => D <= x"06";
5221
             when x"1637" => D <= x"DD";
5222
             when x"1638" => D <= x"66";
5223
             when x"1639" => D <= x"07";
5224
             when x"163A" => D <= x"E5";
5225
             when x"163B" => D <= x"3E";
5226
             when x"163C" => D <= x"20";
5227
             when x"163D" => D <= x"F5";
5228
             when x"163E" => D <= x"33";
5229
             when x"163F" => D <= x"21";
5230
             when x"1640" => D <= x"4A";
5231
             when x"1641" => D <= x"16";
5232
             when x"1642" => D <= x"E5";
5233
             when x"1643" => D <= x"DD";
5234
             when x"1644" => D <= x"6E";
5235
             when x"1645" => D <= x"04";
5236
             when x"1646" => D <= x"DD";
5237
             when x"1647" => D <= x"66";
5238
             when x"1648" => D <= x"05";
5239
             when x"1649" => D <= x"E9";
5240
             when x"164A" => D <= x"F1";
5241
             when x"164B" => D <= x"33";
5242
             when x"164C" => D <= x"D1";
5243
             when x"164D" => D <= x"C1";
5244
             when x"164E" => D <= x"13";
5245
             when x"164F" => D <= x"DD";
5246
             when x"1650" => D <= x"73";
5247
             when x"1651" => D <= x"E0";
5248
             when x"1652" => D <= x"DD";
5249
             when x"1653" => D <= x"72";
5250
             when x"1654" => D <= x"E1";
5251
             when x"1655" => D <= x"18";
5252
             when x"1656" => D <= x"D4";
5253
             when x"1657" => D <= x"DD";
5254
             when x"1658" => D <= x"6E";
5255
             when x"1659" => D <= x"06";
5256
             when x"165A" => D <= x"DD";
5257
             when x"165B" => D <= x"66";
5258
             when x"165C" => D <= x"07";
5259
             when x"165D" => D <= x"E5";
5260
             when x"165E" => D <= x"C5";
5261
             when x"165F" => D <= x"33";
5262
             when x"1660" => D <= x"21";
5263
             when x"1661" => D <= x"6B";
5264
             when x"1662" => D <= x"16";
5265
             when x"1663" => D <= x"E5";
5266
             when x"1664" => D <= x"DD";
5267
             when x"1665" => D <= x"6E";
5268
             when x"1666" => D <= x"04";
5269
             when x"1667" => D <= x"DD";
5270
             when x"1668" => D <= x"66";
5271
             when x"1669" => D <= x"05";
5272
             when x"166A" => D <= x"E9";
5273
             when x"166B" => D <= x"F1";
5274
             when x"166C" => D <= x"33";
5275
             when x"166D" => D <= x"DD";
5276
             when x"166E" => D <= x"34";
5277
             when x"166F" => D <= x"E0";
5278
             when x"1670" => D <= x"C2";
5279
             when x"1671" => D <= x"D2";
5280
             when x"1672" => D <= x"0C";
5281
             when x"1673" => D <= x"DD";
5282
             when x"1674" => D <= x"34";
5283
             when x"1675" => D <= x"E1";
5284
             when x"1676" => D <= x"C3";
5285
             when x"1677" => D <= x"D2";
5286
             when x"1678" => D <= x"0C";
5287
             when x"1679" => D <= x"DD";
5288
             when x"167A" => D <= x"6E";
5289
             when x"167B" => D <= x"E0";
5290
             when x"167C" => D <= x"DD";
5291
             when x"167D" => D <= x"66";
5292
             when x"167E" => D <= x"E1";
5293
             when x"167F" => D <= x"DD";
5294
             when x"1680" => D <= x"F9";
5295
             when x"1681" => D <= x"DD";
5296
             when x"1682" => D <= x"E1";
5297
             when x"1683" => D <= x"C9";
5298
             when x"1684" => D <= x"3C";
5299
             when x"1685" => D <= x"4E";
5300
             when x"1686" => D <= x"4F";
5301
             when x"1687" => D <= x"20";
5302
             when x"1688" => D <= x"46";
5303
             when x"1689" => D <= x"4C";
5304
             when x"168A" => D <= x"4F";
5305
             when x"168B" => D <= x"41";
5306
             when x"168C" => D <= x"54";
5307
             when x"168D" => D <= x"3E";
5308
             when x"168E" => D <= x"00";
5309
             when x"168F" => D <= x"21";
5310
             when x"1690" => D <= x"06";
5311
             when x"1691" => D <= x"00";
5312
             when x"1692" => D <= x"39";
5313
             when x"1693" => D <= x"7E";
5314
             when x"1694" => D <= x"B7";
5315
             when x"1695" => D <= x"C1";
5316
             when x"1696" => D <= x"E1";
5317
             when x"1697" => D <= x"D1";
5318
             when x"1698" => D <= x"D5";
5319
             when x"1699" => D <= x"E5";
5320
             when x"169A" => D <= x"C5";
5321
             when x"169B" => D <= x"C8";
5322
             when x"169C" => D <= x"47";
5323
             when x"169D" => D <= x"7B";
5324
             when x"169E" => D <= x"CB";
5325
             when x"169F" => D <= x"3A";
5326
             when x"16A0" => D <= x"1F";
5327
             when x"16A1" => D <= x"CB";
5328
             when x"16A2" => D <= x"1C";
5329
             when x"16A3" => D <= x"CB";
5330
             when x"16A4" => D <= x"1D";
5331
             when x"16A5" => D <= x"10";
5332
             when x"16A6" => D <= x"F7";
5333
             when x"16A7" => D <= x"5F";
5334
             when x"16A8" => D <= x"C9";
5335
             when x"16A9" => D <= x"21";
5336
             when x"16AA" => D <= x"06";
5337
             when x"16AB" => D <= x"00";
5338
             when x"16AC" => D <= x"39";
5339
             when x"16AD" => D <= x"7E";
5340
             when x"16AE" => D <= x"B7";
5341
             when x"16AF" => D <= x"C1";
5342
             when x"16B0" => D <= x"E1";
5343
             when x"16B1" => D <= x"D1";
5344
             when x"16B2" => D <= x"D5";
5345
             when x"16B3" => D <= x"E5";
5346
             when x"16B4" => D <= x"C5";
5347
             when x"16B5" => D <= x"C8";
5348
             when x"16B6" => D <= x"47";
5349
             when x"16B7" => D <= x"7B";
5350
             when x"16B8" => D <= x"CB";
5351
             when x"16B9" => D <= x"2A";
5352
             when x"16BA" => D <= x"1F";
5353
             when x"16BB" => D <= x"CB";
5354
             when x"16BC" => D <= x"1C";
5355
             when x"16BD" => D <= x"CB";
5356
             when x"16BE" => D <= x"1D";
5357
             when x"16BF" => D <= x"10";
5358
             when x"16C0" => D <= x"F7";
5359
             when x"16C1" => D <= x"5F";
5360
             when x"16C2" => D <= x"C9";
5361
             when x"16C3" => D <= x"21";
5362
             when x"16C4" => D <= x"06";
5363
             when x"16C5" => D <= x"00";
5364
             when x"16C6" => D <= x"39";
5365
             when x"16C7" => D <= x"7E";
5366
             when x"16C8" => D <= x"B7";
5367
             when x"16C9" => D <= x"C1";
5368
             when x"16CA" => D <= x"E1";
5369
             when x"16CB" => D <= x"D1";
5370
             when x"16CC" => D <= x"D5";
5371
             when x"16CD" => D <= x"E5";
5372
             when x"16CE" => D <= x"C5";
5373
             when x"16CF" => D <= x"C8";
5374
             when x"16D0" => D <= x"47";
5375
             when x"16D1" => D <= x"7B";
5376
             when x"16D2" => D <= x"29";
5377
             when x"16D3" => D <= x"17";
5378
             when x"16D4" => D <= x"CB";
5379
             when x"16D5" => D <= x"12";
5380
             when x"16D6" => D <= x"10";
5381
             when x"16D7" => D <= x"FA";
5382
             when x"16D8" => D <= x"5F";
5383
             when x"16D9" => D <= x"C9";
5384
             when x"16DA" => D <= x"C9";
5385
             when others => D <="ZZZZZZZZ";
5386
        end case;
5387
 end if;
5388
end process;
5389
end;

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.