OpenCores
URL https://opencores.org/ocsvn/zet86/zet86/trunk

Subversion Repositories zet86

[/] [zet86/] [tags/] [INITIAL/] [uart16550/] [altera/] [uart_top.qsf] - Blame information for rev 3

Go to most recent revision | Details | Compare with Previous | View Log

Line No. Rev Author Line
1 2 zeus
# Copyright (C) 1991-2007 Altera Corporation
2
# Your use of Altera Corporation's design tools, logic functions
3
# and other software and tools, and its AMPP partner logic
4
# functions, and any output files from any of the foregoing
5
# (including device programming or simulation files), and any
6
# associated documentation or information are expressly subject
7
# to the terms and conditions of the Altera Program License
8
# Subscription Agreement, Altera MegaCore Function License
9
# Agreement, or other applicable license agreement, including,
10
# without limitation, that your use is for the sole purpose of
11
# programming logic devices manufactured by Altera and sold by
12
# Altera or its authorized distributors.  Please refer to the
13
# applicable agreement for further details.
14
 
15
 
16
# The default values for assignments are stored in the file
17
#               uart_top_assignment_defaults.qdf
18
# If this file doesn't exist, and for assignments not listed, see file
19
#               assignment_defaults.qdf
20
 
21
# Altera recommends that you do not modify this file. This
22
# file is updated automatically by the Quartus II software
23
# and any changes you make may be lost or overwritten.
24
 
25
 
26
# Copyright (C) 1991-2007 Altera Corporation
27
# Your use of Altera Corporation's design tools, logic functions
28
# and other software and tools, and its AMPP partner logic
29
# functions, and any output files from any of the foregoing
30
# (including device programming or simulation files), and any
31
# associated documentation or information are expressly subject
32
# to the terms and conditions of the Altera Program License
33
# Subscription Agreement, Altera MegaCore Function License
34
# Agreement, or other applicable license agreement, including,
35
# without limitation, that your use is for the sole purpose of
36
# programming logic devices manufactured by Altera and sold by
37
# Altera or its authorized distributors.  Please refer to the
38
# applicable agreement for further details.
39
 
40
 
41
# The default values for assignments are stored in the file
42
#               uart_top_assignment_defaults.qdf
43
# If this file doesn't exist, and for assignments not listed, see file
44
#               assignment_defaults.qdf
45
 
46
# Altera recommends that you do not modify this file. This
47
# file is updated automatically by the Quartus II software
48
# and any changes you make may be lost or overwritten.
49
 
50
 
51
set_global_assignment -name DEVICE EP2S60F672C5ES
52
set_global_assignment -name FAMILY "Stratix II"
53
set_global_assignment -name TOP_LEVEL_ENTITY uart_test
54
set_global_assignment -name ORIGINAL_QUARTUS_VERSION "7.1 SP1"
55
set_global_assignment -name PROJECT_CREATION_TIME_DATE "00:20:04  AUGUST 27, 2007"
56
set_global_assignment -name LAST_QUARTUS_VERSION "7.1 SP1"
57
set_global_assignment -name EDA_SIMULATION_TOOL "ModelSim (Verilog)"
58
set_global_assignment -name EDA_TIME_SCALE "1 ps" -section_id eda_simulation
59
set_global_assignment -name EDA_OUTPUT_DATA_FORMAT VERILOG -section_id eda_simulation
60
set_global_assignment -name VERILOG_FILE altpll0.v
61
set_global_assignment -name VERILOG_FILE uart_test.v
62
set_global_assignment -name VERILOG_FILE ../verilog/uart_wb.v
63
set_global_assignment -name VERILOG_FILE ../verilog/timescale.v
64
set_global_assignment -name VERILOG_FILE ../verilog/uart_debug_if.v
65
set_global_assignment -name VERILOG_FILE ../verilog/uart_defines.v
66
set_global_assignment -name VERILOG_FILE ../verilog/uart_receiver.v
67
set_global_assignment -name VERILOG_FILE ../verilog/uart_regs.v
68
set_global_assignment -name VERILOG_FILE ../verilog/uart_rfifo.v
69
set_global_assignment -name VERILOG_FILE ../verilog/uart_sync_flops.v
70
set_global_assignment -name VERILOG_FILE ../verilog/uart_tfifo.v
71
set_global_assignment -name VERILOG_FILE ../verilog/uart_top.v
72
set_global_assignment -name VERILOG_FILE ../verilog/uart_transmitter.v
73
set_global_assignment -name VERILOG_FILE ../verilog/raminfr.v
74
set_global_assignment -name PARTITION_NETLIST_TYPE SOURCE -section_id Top
75
set_instance_assignment -name PARTITION_HIERARCHY no_file_for_top_partition -to | -section_id Top
76
set_location_assignment PIN_K8 -to stxo_
77
set_location_assignment PIN_AF15 -to clk_
78
set_global_assignment -name ENABLE_CLOCK_LATENCY ON
79
set_global_assignment -name RESERVE_ALL_UNUSED_PINS "AS INPUT TRI-STATED"
80
set_global_assignment -name DEVICE_MIGRATION_LIST "EP2S60F672C5ES,EP2S60F672C5"
81
set_location_assignment PIN_AD26 -to led_[7]
82
set_location_assignment PIN_AD25 -to led_[6]
83
set_location_assignment PIN_AC25 -to led_[5]
84
set_location_assignment PIN_AC24 -to led_[4]
85
set_location_assignment PIN_AB24 -to led_[3]
86
set_location_assignment PIN_AB23 -to led_[2]
87
set_location_assignment PIN_AB26 -to led_[1]
88
set_location_assignment PIN_AB25 -to led_[0]
89
set_global_assignment -name RESERVE_ALL_UNUSED_PINS_NO_OUTPUT_GND "AS INPUT TRI-STATED"

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.