OpenCores
URL https://opencores.org/ocsvn/zet86/zet86/trunk

Subversion Repositories zet86

[/] [zet86/] [trunk/] [sim/] [modelsim/] [tb.do] - Blame information for rev 21

Go to most recent revision | Details | Compare with Previous | View Log

Line No. Rev Author Line
1 21 zeus
vdel -all -lib work
2 2 zeus
vlib work
3 21 zeus
vlog -work work -lint +incdir+../../rtl-model ../../rtl-model/regfile.v ../../rtl-model/alu.v ../../rtl-model/cpu.v ../../rtl-model/exec.v ../../rtl-model/fetch.v ../../rtl-model/jmp_cond.v ../../rtl-model/util/primitives.v
4 2 zeus
vlog -work work +incdir+.. ../memory.v ../testbench.v
5
vsim -novopt -t ns work.testbench
6
add wave /testbench/clk
7
add wave /testbench/rst
8
add wave -radix hexadecimal /testbench/cpu0/fetch0/pc
9
add wave -radix hexadecimal /testbench/cpu0/fetch0/state
10
add wave -radix hexadecimal /testbench/cpu0/fetch0/next_state
11
add wave -radix hexadecimal /testbench/cpu0/fetch0/opcode
12
add wave -radix hexadecimal /testbench/cpu0/fetch0/modrm
13
add wave /testbench/cpu0/fetch0/end_seq
14
add wave -radix hexadecimal sim:/testbench/rd_data
15
add wave -radix hexadecimal sim:/testbench/wr_data
16
add wave sim:/testbench/cpu0/fetch0/need_modrm
17
add wave sim:/testbench/cpu0/fetch0/need_off
18
add wave sim:/testbench/cpu0/fetch0/need_imm
19
add wave sim:/testbench/cpu0/fetch0/ir
20
add wave -radix hexadecimal sim:/testbench/cpu0/fetch0/imm
21
add wave -radix hexadecimal sim:/testbench/cpu0/fetch0/off
22
add wave -radix hexadecimal sim:/testbench/addr
23
add wave -radix hexadecimal sim:/testbench/cpu0/exec0/reg0/r\[15\]
24
add wave -radix hexadecimal sim:/testbench/cpu0/exec0/reg0/d
25
add wave sim:/testbench/cpu0/exec0/reg0/addr_a
26
add wave sim:/testbench/cpu0/exec0/reg0/addr_d
27
add wave sim:/testbench/cpu0/exec0/reg0/wr
28
add wave sim:/testbench/we
29 14 zeus
add wave sim:/testbench/ack_i
30
add wave sim:/testbench/cpu0/fetch_or_exec

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.