OpenCores
URL https://opencores.org/ocsvn/16x2_lcd_controller/16x2_lcd_controller/trunk

Subversion Repositories 16x2_lcd_controller

[/] [16x2_lcd_controller/] [trunk/] [testbench/] [lcd16x2_ctrl_tb.vhd] - Blame information for rev 6

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 6 stachelsau
-------------------------------------------------------------------------------
2
-- Title      : Testbench for design "lcd16x2_ctrl"
3
-- Project    : 
4
-------------------------------------------------------------------------------
5
-- File       : lcd16x2_ctrl_tb.vhd
6
-- Author     :   <stachelsau@T420>
7
-- Company    : 
8
-- Created    : 2012-07-28
9
-- Last update: 2012-07-29
10
-- Platform   : 
11
-- Standard   : VHDL'93/02
12
-------------------------------------------------------------------------------
13
-- Description: 
14
-------------------------------------------------------------------------------
15
-- Copyright (c) 2012 
16
-------------------------------------------------------------------------------
17
-- Revisions  :
18
-- Date        Version  Author  Description
19
-- 2012-07-28  1.0      stachelsau      Created
20
-------------------------------------------------------------------------------
21
 
22
library ieee;
23
use ieee.std_logic_1164.all;
24
 
25
-------------------------------------------------------------------------------
26
 
27
entity lcd16x2_ctrl_tb is
28
 
29
end entity lcd16x2_ctrl_tb;
30
 
31
-------------------------------------------------------------------------------
32
 
33
architecture behavior of lcd16x2_ctrl_tb is
34
 
35
  -- component generics
36
  constant CLK_PERIOD_NS : positive := 20;
37
 
38
  -- component ports
39
  signal clk          : std_logic := '1';
40
  signal rst          : std_logic;
41
  signal lcd_e        : std_logic;
42
  signal lcd_rs       : std_logic;
43
  signal lcd_rw       : std_logic;
44
  signal lcd_db       : std_logic_vector(3 downto 0);
45
  signal line1_buffer : std_logic_vector(127 downto 0);
46
  signal line2_buffer : std_logic_vector(127 downto 0);
47
 
48
begin  -- architecture behavior
49
 
50
  -- component instantiation
51
  DUT: entity work.lcd16x2_ctrl
52
    generic map (
53
      CLK_PERIOD_NS => CLK_PERIOD_NS)
54
    port map (
55
      clk          => clk,
56
      rst          => rst,
57
      lcd_e        => lcd_e,
58
      lcd_rs       => lcd_rs,
59
      lcd_rw       => lcd_rw,
60
      lcd_db       => lcd_db,
61
      line1_buffer => line1_buffer,
62
      line2_buffer => line2_buffer);
63
 
64
  -- clock generation
65
  Clk <= not Clk after 10 ns;
66
  rst <= '0';
67
  line1_buffer <= (others => '1');
68
  line2_buffer <= (others => '0');
69
 
70
  -- waveform generation
71
  WaveGen_Proc: process
72
  begin
73
    -- insert signal assignments here
74
 
75
    wait until Clk = '1';
76
  end process WaveGen_Proc;
77
 
78
 
79
 
80
end architecture behavior;
81
 
82
-------------------------------------------------------------------------------
83
 
84
configuration lcd16x2_ctrl_tb_behavior_cfg of lcd16x2_ctrl_tb is
85
  for behavior
86
  end for;
87
end lcd16x2_ctrl_tb_behavior_cfg;
88
 
89
-------------------------------------------------------------------------------

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.