OpenCores
URL https://opencores.org/ocsvn/1g_ethernet_dpi/1g_ethernet_dpi/trunk

Subversion Repositories 1g_ethernet_dpi

[/] [1g_ethernet_dpi/] [tags/] [vmblite_base/] [hw/] [src/] [rtl/] [mblite/] [core/] [core_wb_adapter.vhd] - Blame information for rev 7

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 7 kuzmi4
----------------------------------------------------------------------------------------------
2
--
3
--      Input file         : core_wb_adapter.vhd
4
--      Design name        : core_wb_adapter.vhd
5
--      Author             : Tamar Kranenburg
6
--      Company            : Delft University of Technology
7
--                         : Faculty EEMCS, Department ME&CE
8
--                         : Systems and Circuits group
9
--
10
--      Description        : Wishbone adapter for the MB-Lite microprocessor. The data output
11
--                           is registered for multicycle transfers. This adapter implements
12
--                           the synchronous Wishbone Bus protocol, Rev3B.
13
--
14
----------------------------------------------------------------------------------------------
15
 
16
library ieee;
17
use ieee.std_logic_1164.all;
18
use ieee.std_logic_unsigned.all;
19
 
20
library mblite;
21
use mblite.config_Pkg.all;
22
use mblite.core_Pkg.all;
23
use mblite.std_Pkg.all;
24
 
25
entity core_wb_adapter is port
26
(
27
    dmem_i : out dmem_in_type;
28
    wb_o   : out wb_mst_out_type;
29
    dmem_o : in dmem_out_type;
30
    wb_i   : in wb_mst_in_type
31
);
32
end core_wb_adapter;
33
 
34
architecture arch of core_wb_adapter is
35
 
36
    signal r_cyc_o : std_logic;
37
    signal rin_cyc_o : std_logic;
38
    signal r_data, rin_data : std_logic_vector(CFG_DMEM_WIDTH - 1 downto 0);
39
    signal s_wait : std_logic;
40
 
41
begin
42
 
43
    -- Direct input-output connections
44
    wb_o.adr_o   <= dmem_o.adr_o;
45
    wb_o.sel_o   <= dmem_o.sel_o;
46
    wb_o.we_o    <= dmem_o.we_o;
47
    dmem_i.dat_i <= wb_i.dat_i;
48
 
49
    -- synchronous bus control connections
50
    wb_o.cyc_o <= r_cyc_o or wb_i.ack_i;
51
    wb_o.stb_o <= r_cyc_o;
52
 
53
    -- asynchronous core enable connection
54
    dmem_i.ena_i <= '0' when (dmem_o.ena_o = '1' and rin_cyc_o = '1') or s_wait = '1' else '1';
55
    wb_o.dat_o   <= rin_data;
56
 
57
    -- logic for wishbone master
58
    wb_adapter_comb: process(wb_i, dmem_o, r_cyc_o, r_data)
59
    begin
60
 
61
        if wb_i.rst_i = '1' then
62
            -- reset bus
63
            rin_data <= r_data;
64
            rin_cyc_o <= '0';
65
            s_wait <= '0';
66
        elsif r_cyc_o = '1' and wb_i.ack_i = '1' then
67
            -- terminate wishbone cycle
68
            rin_data <= r_data;
69
            rin_cyc_o <= '0';
70
            s_wait <= '0';
71
        elsif dmem_o.ena_o = '1' and wb_i.ack_i = '1' then
72
            -- wishbone bus is occuppied
73
            rin_data <= r_data;
74
            rin_cyc_o <= '1';
75
            s_wait <= '1';
76
        elsif r_cyc_o = '0' and dmem_o.ena_o = '1' and wb_i.ack_i = '0' then
77
            -- start wishbone cycle
78
            rin_data <= dmem_o.dat_o;
79
            rin_cyc_o <= '1';
80
            s_wait <= '0';
81
        else
82
            -- maintain wishbone cycle
83
            rin_data <= r_data;
84
            rin_cyc_o <= r_cyc_o;
85
            s_wait <= '0';
86
        end if;
87
 
88
    end process;
89
 
90
    wb_adapter_seq: process(wb_i.clk_i)
91
    begin
92
        if rising_edge(wb_i.clk_i) then
93
            r_cyc_o <= rin_cyc_o;
94
            r_data <= rin_data;
95
        end if;
96
    end process;
97
 
98
end arch;

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.