OpenCores
URL https://opencores.org/ocsvn/1g_ethernet_dpi/1g_ethernet_dpi/trunk

Subversion Repositories 1g_ethernet_dpi

[/] [1g_ethernet_dpi/] [tags/] [vmblite_base/] [hw/] [src/] [rtl/] [mblite/] [std/] [sram.vhd] - Blame information for rev 7

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 7 kuzmi4
----------------------------------------------------------------------------------------------
2
--
3
--      Input file         : sram.vhd
4
--      Design name        : sram
5
--      Author             : Tamar Kranenburg
6
--      Company            : Delft University of Technology
7
--                         : Faculty EEMCS, Department ME&CE
8
--                         : Systems and Circuits group
9
--
10
--      Description        : Single Port Synchronous Random Access Memory
11
--
12
----------------------------------------------------------------------------------------------
13
 
14
library ieee;
15
use ieee.std_logic_1164.all;
16
use ieee.std_logic_unsigned.all;
17
use ieee.std_logic_textio.all; -- InitRamFromFile
18
 
19
library std;
20
use std.textio.all; -- InitRamFromFile
21
 
22
library mblite;
23
use mblite.std_Pkg.all;
24
 
25
entity sram is generic
26
(
27
    FNAME : string;
28
    WIDTH : positive := 32;
29
    SIZE  : positive := 16
30
);
31
port
32
(
33
    dat_o : out std_logic_vector(WIDTH - 1 downto 0);
34
    dat_i : in std_logic_vector(WIDTH - 1 downto 0);
35
    adr_i : in std_logic_vector(SIZE - 1 downto 0);
36
    wre_i : in std_logic;
37
    ena_i : in std_logic;
38
    clk_i : in std_logic
39
);
40
end sram;
41
 
42
architecture arch of sram is
43
    type ram_type is array(2 ** SIZE - 1 downto 0) of std_logic_vector(WIDTH - 1 downto 0);
44
    --
45
    impure function InitRamFromFile (RamFileName : in string) return ram_type is
46
        FILE ramfile : text is in RamFileName;
47
        variable RamFileLine : line;
48
        variable ram : ram_type;
49
        variable i : natural := 0;
50
    begin
51
            while not endfile(ramfile) loop
52
                readline(ramfile, RamFileLine);
53
                hread(RamFileLine, ram(i));
54
                i := i + 1;
55
            end loop;
56
            return ram;
57
    end function;
58
    --
59
    signal ram :  ram_type := InitRamFromFile(FNAME);
60
begin
61
    process(clk_i)
62
    begin
63
        if rising_edge(clk_i) then
64
            if ena_i = '1' then
65
                if wre_i = '1' then
66
                   ram(my_conv_integer(adr_i)) <= dat_i;
67
                end if;
68
                dat_o <= ram(my_conv_integer(adr_i));
69
            end if;
70
        end if;
71
    end process;
72
end arch;

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.