OpenCores
URL https://opencores.org/ocsvn/1g_ethernet_dpi/1g_ethernet_dpi/trunk

Subversion Repositories 1g_ethernet_dpi

[/] [1g_ethernet_dpi/] [tags/] [vmblite_base/] [hw/] [src/] [rtl/] [misc/] [clk_module.sv] - Blame information for rev 7

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 7 kuzmi4
//////////////////////////////////////////////////////////////////////////////////
2
// Company:
3
// Engineer:        IK
4
//
5
// Create Date:
6
// Design Name:
7
// Module Name:     clk_module
8
// Project Name:
9
// Target Devices:
10
// Tool versions:
11
// Description:
12
//
13
//
14
// Revision:
15
// Revision 0.01 - File Created,
16
//
17
//////////////////////////////////////////////////////////////////////////////////
18
`timescale 1ns / 1ps
19
 
20
module clk_module
21
(
22
    // CLK-in
23
    input   i_clk_200,
24
    // CLK-out
25
    output  o_clk_50,
26
    // ??
27
    input   i_arst,
28
    output  o_locked
29
);
30
//////////////////////////////////////////////////////////////////////////////////
31
    // ??
32
    wire [15:0] do_unused;
33
    wire        drdy_unused;
34
    wire        psdone_unused;
35
    wire        clkfbout_clk_wiz_0;
36
    wire        clkfbout_buf_clk_wiz_0;
37
    wire        clkfboutb_unused;
38
    wire clkout0b_unused;
39
    wire clkout1_unused;
40
    wire clkout1b_unused;
41
    wire clkout2_unused;
42
    wire clkout2b_unused;
43
    wire clkout3_unused;
44
    wire clkout3b_unused;
45
    wire clkout4_unused;
46
    wire clkout5_unused;
47
    wire clkout6_unused;
48
    wire        clkfbstopped_unused;
49
    wire        clkinstopped_unused;
50
 
51
//////////////////////////////////////////////////////////////////////////////////
52
//
53
//
54
//
55
MMCME2_ADV #
56
(
57
    .BANDWIDTH            ("OPTIMIZED"),
58
    .COMPENSATION         ("ZHOLD"),
59
 
60
    .DIVCLK_DIVIDE        (1),
61
 
62
    .CLKFBOUT_MULT_F      (5.000),
63
    .CLKFBOUT_PHASE       (0.000),
64
 
65
    .CLKOUT0_DIVIDE_F     (20.000), // out-freq: 50MHz
66
    .CLKOUT0_PHASE        (0.000),
67
    .CLKOUT0_DUTY_CYCLE   (0.500),
68
 
69
    .CLKIN1_PERIOD        (5.000),  // in-freq: 200MHz
70
    .REF_JITTER1          (0.010)
71
)
72
mmcm_adv_inst
73
(
74
    // Output clocks
75
    .CLKFBOUT            (clkfbout_clk_wiz_0),
76
    .CLKFBOUTB           (clkfboutb_unused),
77
    .CLKOUT0             (clk_out1_clk_wiz_0),
78
    .CLKOUT0B            (clkout0b_unused),
79
    .CLKOUT1             (clkout1_unused),
80
    .CLKOUT1B            (clkout1b_unused),
81
    .CLKOUT2             (clkout2_unused),
82
    .CLKOUT2B            (clkout2b_unused),
83
    .CLKOUT3             (clkout3_unused),
84
    .CLKOUT3B            (clkout3b_unused),
85
    .CLKOUT4             (clkout4_unused),
86
    .CLKOUT5             (clkout5_unused),
87
    .CLKOUT6             (clkout6_unused),
88
     // Input clock control
89
    .CLKFBIN             (clkfbout_buf_clk_wiz_0),
90
    .CLKIN1              (i_clk_200),
91
    .CLKIN2              (1'b0),
92
     // Tied to always select the primary input clock
93
    .CLKINSEL            (1'b1),
94
    // Ports for dynamic reconfiguration
95
    .DADDR               (7'h0),
96
    .DCLK                (1'b0),
97
    .DEN                 (1'b0),
98
    .DI                  (16'h0),
99
    .DO                  (do_unused),
100
    .DRDY                (drdy_unused),
101
    .DWE                 (1'b0),
102
    // Ports for dynamic phase shift
103
    .PSCLK               (1'b0),
104
    .PSEN                (1'b0),
105
    .PSINCDEC            (1'b0),
106
    .PSDONE              (psdone_unused),
107
    // Other control and status signals
108
    .LOCKED              (o_locked),
109
    .CLKINSTOPPED        (clkinstopped_unused),
110
    .CLKFBSTOPPED        (clkfbstopped_unused),
111
    .PWRDWN              (1'b0),
112
    .RST                 (i_arst)
113
);
114
//////////////////////////////////////////////////////////////////////////////////
115
//
116
// CLK buffering
117
//
118
BUFG    clkf_buf
119
(
120
.O      (clkfbout_buf_clk_wiz_0),
121
.I      (clkfbout_clk_wiz_0)
122
);
123
 
124
BUFG    clkout1_buf
125
(
126
.O      (o_clk_50),
127
.I      (clk_out1_clk_wiz_0)
128
);
129
//////////////////////////////////////////////////////////////////////////////////
130
endmodule

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.