OpenCores
URL https://opencores.org/ocsvn/1g_ethernet_dpi/1g_ethernet_dpi/trunk

Subversion Repositories 1g_ethernet_dpi

[/] [1g_ethernet_dpi/] [tags/] [vmblite_base/] [hw/] [src/] [tc/] [testcase.sv] - Blame information for rev 7

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 7 kuzmi4
//////////////////////////////////////////////////////////////////////////////////
2
// Company:
3
// Engineer:        IK
4
//
5
// Create Date:     11:35:01 03/21/2013
6
// Design Name:
7
// Module Name:     testcase
8
// Project Name:
9
// Target Devices:
10
// Tool versions:
11
// Description:
12
//
13
//
14
// Revision:
15
// Revision 0.01 - File Created,
16
//
17
//////////////////////////////////////////////////////////////////////////////////
18
`timescale 1ns / 1ps
19
 
20
module testcase;
21
//////////////////////////////////////////////////////////////////////////////////
22
//
23
// Instantiate TB
24
//
25
tb tb();
26
 
27
//////////////////////////////////////////////////////////////////////////////////
28
//
29
//
30
//
31
initial
32
begin   :   TC
33
    // init-msg
34
    $display("[%t]: %m: START", $time);
35
    // init-clr
36
    tb.dut_arst();
37
    // proc
38
    #500ms;
39
    // Final
40
    #1us;
41
    $display("[%t]: %m: STOP", $time);
42
    $finish;
43
end
44
//////////////////////////////////////////////////////////////////////////////////
45
endmodule

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.