OpenCores
URL https://opencores.org/ocsvn/6809_6309_compatible_core/6809_6309_compatible_core/trunk

Subversion Repositories 6809_6309_compatible_core

[/] [6809_6309_compatible_core/] [trunk/] [sim/] [tb.v] - Blame information for rev 7

Go to most recent revision | Details | Compare with Previous | View Log

Line No. Rev Author Line
1 2 ale500
/* MC6809/HD6309 Compatible core
2
 * (c) 2013 R.A. Paz Schmidt rapazschmidt@gmail.com
3
 *
4
 * Distributed under the terms of the Lesser GPL
5
 */
6 4 ale500
`timescale 1ns/1ns
7
 
8
module tb(output wire [15:0] addr_o, output wire [7:0] data_o_o);
9
 
10
reg clk, reset;
11
 
12
assign addr_o = addr;
13
assign data_o_o = data_o;
14
wire [15:0] addr;
15
wire [7:0] data_o, data_i;
16
wire oe, we;
17
always
18
        #5 clk = ~clk;
19
 
20
MC6809_cpu cpu(
21
        .cpu_clk(clk),
22
        .cpu_reset(reset),
23
        .cpu_we_o(we),
24
        .cpu_oe_o(oe),
25
        .cpu_addr_o(addr),
26
        .cpu_data_i(data_i),
27
        .cpu_data_o(data_o)
28
        );
29
 
30
memory imem(addr, !oe, !we, data_i, data_o);
31 2 ale500
 
32
initial
33
        begin
34 4 ale500
                $dumpvars;
35
                clk = 0;
36
                reset = 1;
37
                #0
38
                #46
39
                reset = 0;
40 7 ale500
                #7000
41 4 ale500
                $finish;
42
        end
43
 
44
endmodule
45
 
46 2 ale500
module memory(
47
        input wire [15:0] addr,
48
        input wire oe,
49
        input wire we,
50
        output wire [7:0] data_o,
51
        input wire [7:0] data_i
52
        );
53
 
54
reg [7:0] mem[65535:0];
55
reg [7:0] latecheddata;
56
wire [7:0] mem0, mem1, mem2, mem3;
57
 
58
assign mem0 = mem[0];
59
assign mem1 = mem[1];
60
assign mem2 = mem[2];
61
assign mem3 = mem[3];
62
 
63 4 ale500
assign data_o = latecheddata;
64
always @(negedge oe)
65 2 ale500
        latecheddata <= mem[addr];
66
 
67 4 ale500
always @(negedge we)
68
        begin
69 2 ale500
                mem[addr] <= data_i;
70
                $display("W %04x = %02x %t", addr, data_i, $time);
71
        end
72
 
73
always @(negedge oe)
74
        begin
75
                $display("R %04x = %02x %t", addr, mem[addr], $time);
76
        end
77 4 ale500
`define READTESTBIN
78 2 ale500
integer i;
79
initial
80
        begin
81
`ifdef READTESTBIN
82
                $readmemh("instructions_test.hex", mem);
83
                $display("instructions_test.hex read");
84
                mem[16'hfffe] = 8'h00; // setup reset
85
                mem[16'hffff] = 8'h00;
86
`else
87
                for (i = 0; i < 65536; i=i+1)
88
                        mem[i] = 8'ha5;
89 4 ale500
/*
90 2 ale500
                mem[16'h1000] = 8'h3f; // lda #$10
91
                mem[16'h1001] = 8'h10; //
92
                mem[16'h1002] = 8'hc6; // ldb #$12
93
                mem[16'h1003] = 8'h12; //
94
 
95
                mem[16'h1004] = 8'h3d; // mul
96
                mem[16'h1005] = 8'h4c; // inca
97
                mem[16'h1006] = 8'h5c; // incb
98
 
99
                mem[16'h1007] = 8'h9d; // jsr
100
                mem[16'h1008] = 8'h0e; //
101
                mem[16'h1009] = 8'h12; // nop
102
 
103
                mem[16'h100a] = 8'h20; // bre *
104
                mem[16'h100b] = 8'hfe; //
105
                mem[16'h100c] = 8'h12; //
106
                mem[16'h100d] = 8'h39; //
107
                mem[16'h100e] = 8'h39; //
108
 
109
                mem[16'h2000] = 8'h3b; // rti
110
                mem[16'h2002] = 8'h3b; // rti
111
                mem[16'h2004] = 8'h3b; // rti
112
                mem[16'h2006] = 8'h3b; // rti
113
                mem[16'h2008] = 8'h3b; // rti
114
                mem[16'h200a] = 8'h3b; // rti
115
                mem[16'h200c] = 8'h3b; // rti
116
                mem[16'h200e] = 8'h3b; // rti
117 4 ale500
*/
118 2 ale500
 
119
/*
120
// test indexed store
121 4 ale500
                mem[16'h1000] = 8'h86; // lda #$02
122 2 ale500
                mem[16'h1001] = 8'h02; //
123
                mem[16'h1002] = 8'h9e; // ldx $00 (direct)
124
                mem[16'h1003] = 8'h00; //
125
 
126
                mem[16'h1004] = 8'ha7; // lda ,x
127
                mem[16'h1005] = 8'b10000100; // ofs0
128
                mem[16'h1006] = 8'ha7; // lda ,x+
129
                mem[16'h1007] = 8'b10000000; //
130
 
131
 
132
                mem[16'h1008] = 8'ha7; // lda ,x++
133
                mem[16'h1009] = 8'b10000001; //
134
                mem[16'h100a] = 8'ha6; // lda ,-x
135
                mem[16'h100b] = 8'b10000010; //
136
 
137
                mem[16'h100c] = 8'ha7; // lda ,--x
138
                mem[16'h100d] = 8'b10000011; //
139
 
140
                mem[16'h100e] = 8'ha7; // lda 0,x ofs 5
141
                mem[16'h100f] = 8'h00; //
142
 
143
                mem[16'h1010] = 8'ha7; // lda 0,x ofs 8
144
                mem[16'h1011] = 8'b10001000; //
145
                mem[16'h1012] = 8'h00; //
146
 
147
                mem[16'h1013] = 8'ha7; // lda 0,x ofs 16
148
                mem[16'h1014] = 8'b10001001; //
149
                mem[16'h1015] = 8'h00; //
150
                mem[16'h1016] = 8'h00; //
151
*/
152
 
153
/* test indexed load
154
                mem[16'h1000] = 8'h86; // lda #$02
155
                mem[16'h1001] = 8'h02; //
156
                mem[16'h1002] = 8'h9e; // ldx $00 (direct)
157
                mem[16'h1003] = 8'h00; //
158
 
159
                mem[16'h1004] = 8'ha6; // lda ,x
160
                mem[16'h1005] = 8'b10000100; // ofs0
161
                mem[16'h1006] = 8'ha6; // lda ,x+
162
                mem[16'h1007] = 8'b10000000; //
163
 
164
 
165
                mem[16'h1008] = 8'ha6; // lda ,x++
166
                mem[16'h1009] = 8'b10000001; //
167
                mem[16'h100a] = 8'ha6; // lda ,-x
168
                mem[16'h100b] = 8'b10000010; //
169
 
170
                mem[16'h100c] = 8'ha6; // lda ,--x
171
                mem[16'h100d] = 8'b10000011; //
172
 
173
                mem[16'h100e] = 8'ha6; // lda 0,x ofs 5
174
                mem[16'h100f] = 8'h00; //
175
 
176
                mem[16'h1010] = 8'ha6; // lda 0,x ofs 8
177
                mem[16'h1011] = 8'b10001000; //
178
                mem[16'h1012] = 8'h00; //
179
 
180
                mem[16'h1013] = 8'ha6; // lda 0,x ofs 16
181
                mem[16'h1014] = 8'b10001001; //
182
                mem[16'h1015] = 8'h00; //
183
                mem[16'h1016] = 8'h00; //
184
*/
185
 
186 4 ale500
/* test extended*/
187
                mem[16'h1000] = 8'h86; // ldb #$fe
188
                mem[16'h1001] = 8'h02; // 
189
                mem[16'h1002] = 8'hc6; // lda #$0
190 2 ale500
                mem[16'h1003] = 8'h00; // 
191
 
192 4 ale500
                mem[16'h1004] = 8'h97; // inca          
193
                mem[16'h1005] = 8'h00; // sta $0000
194
                mem[16'h1006] = 8'hd7; //
195
                mem[16'h1007] = 8'h01; // 
196 2 ale500
 
197
 
198
                mem[16'h1008] = 8'hb6; // lda $0000
199
                mem[16'h1009] = 8'h00; // 
200
                mem[16'h100a] = 8'h00; // 
201
 
202
                mem[16'h100b] = 8'h26; // bne$.-5               
203
                mem[16'h100c] = 8'hf7; //
204
 
205
                mem[16'h100d] = 8'h5c; // incb
206
 
207
                mem[16'h100e] = 8'hf7; // stb $0001
208
                mem[16'h100f] = 8'h00; // 
209
                mem[16'h1010] = 8'h01; // 
210
 
211
                mem[16'h1011] = 8'h20; // bra
212
                mem[16'h1012] = 8'hec; // $.-18
213 4 ale500
//*/            
214 2 ale500
                mem[16'hfff0] = 8'h20; // reset
215
                mem[16'hfff1] = 8'h00;
216
                mem[16'hfff2] = 8'h20; // reset
217
                mem[16'hfff3] = 8'h02;
218
                mem[16'hfff4] = 8'h20; // reset
219
                mem[16'hfff5] = 8'h04;
220
                mem[16'hfff6] = 8'h20; // reset
221
                mem[16'hfff7] = 8'h06;
222
                mem[16'hfff8] = 8'h20; // reset
223
                mem[16'hfff9] = 8'h08;
224
                mem[16'hfffa] = 8'h20; // reset
225
                mem[16'hfffb] = 8'h0a;
226
                mem[16'hfffc] = 8'h20; // reset
227
                mem[16'hfffd] = 8'h0c;
228
                mem[16'hfffe] = 8'h10; // reset
229
                mem[16'hffff] = 8'h00;
230
`endif
231
        end
232
 
233
endmodule

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.