OpenCores
URL https://opencores.org/ocsvn/6809_6309_compatible_core/6809_6309_compatible_core/trunk

Subversion Repositories 6809_6309_compatible_core

[/] [6809_6309_compatible_core/] [trunk/] [syn/] [lattice/] [P6809/] [P6809_scck.rpt] - Blame information for rev 10

Go to most recent revision | Details | Compare with Previous | View Log

Line No. Rev Author Line
1 4 ale500
# Synospys Constraint Checker(syntax only), version maplat, Build 618R, built Mar 14 2013
2
# Copyright (C) 1994-2012, Synopsys Inc. This software the associated documentation are confidential and proprietary to Synopsys, Inc. Your use or disclosure of this software subject to the terms and conditions of a written license agreement between you, or your company, and Synopsys, Inc.
3
 
4 10 ale500
# Written on Sun Jun 22 08:17:23 2014
5 4 ale500
 
6
 
7
##### DESIGN INFO #######################################################
8
 
9
Top View:                "CC3_top"
10
Constraint File(s):      (none)
11
 
12
#Run constraint checker to find more issues with constraints.
13
#########################################################################
14
 
15
 
16
 
17
No issues found in constraint syntax.
18
 
19
 
20
 
21
Clock Summary
22
**************
23
 
24
Start                             Requested     Requested     Clock                              Clock
25
Clock                             Frequency     Period        Type                               Group
26
----------------------------------------------------------------------------------------------------------------------
27
CC3_top|clk40_i                   1.0 MHz       1000.000      inferred                           Autoconstr_clkgroup_0
28 10 ale500
CC3_top|div_derived_clock         1.0 MHz       1000.000      derived (from CC3_top|clk40_i)     Autoconstr_clkgroup_0
29 4 ale500
CC3_top|cpu_clk_derived_clock     1.0 MHz       1000.000      derived (from CC3_top|clk40_i)     Autoconstr_clkgroup_0
30
======================================================================================================================

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.