OpenCores
URL https://opencores.org/ocsvn/6809_6309_compatible_core/6809_6309_compatible_core/trunk

Subversion Repositories 6809_6309_compatible_core

[/] [6809_6309_compatible_core/] [trunk/] [syn/] [lattice/] [P6809/] [automake.log] - Blame information for rev 4

Go to most recent revision | Details | Compare with Previous | View Log

Line No. Rev Author Line
1 4 ale500
 
2
synpwrap -prj "P6809_P6809_synplify.tcl" -log "P6809_P6809.srf"
3
 
4
*****************************************************************
5
 
6
Warning: You are running on an unsupported platform
7
  'synplify_pro' only supports Red Hat Enterprise Linux 4.0 and above
8
 
9
  current platform: CentOS release 6.4 (Final)
10
Kernel \r on an \m
11
 
12
*****************************************************************
13
 
14
Running in Lattice mode
15
 
16
 
17
Starting:    /usr/local/diamond/2.2_x64/synpbase/linux_a_64/mbin/synbatch
18
Install:     /usr/local/diamond/2.2_x64/synpbase
19
Date:        Mon Dec 30 07:52:25 2013
20
Version:     G-2012.09L-SP1
21
 
22
Arguments:   -product synplify_pro  -batch P6809_P6809_synplify.tcl
23
ProductType: synplify_pro
24
 
25
 
26
 
27
 
28
 
29
 
30
log file: "/home/pacito/02_Elektronik/020_V6809/6809/opencores/trunk/syn/lattice/P6809/P6809_P6809.srr"
31
 
32
 
33
Running proj_1|P6809
34
 
35
Running Compile on proj_1|P6809
36
 
37
Running Compile Process on proj_1|P6809
38
 
39
Running Compile Input on proj_1|P6809
40
 
41
Copied /home/pacito/02_Elektronik/020_V6809/6809/opencores/trunk/syn/lattice/P6809/synwork/P6809_P6809_compiler.srs to /home/pacito/02_Elektronik/020_V6809/6809/opencores/trunk/syn/lattice/P6809/P6809_P6809.srs
42
 
43
compiler Completed with warnings
44
Return Code: 1
45
Run Time:00h:00m:02s
46
 
47
 
48
Copied /home/pacito/02_Elektronik/020_V6809/6809/opencores/trunk/syn/lattice/P6809/P6809_P6809.srr to /home/pacito/02_Elektronik/020_V6809/6809/opencores/trunk/syn/lattice/P6809/P6809_P6809.srf
49
 
50
Job Compile Process completed on proj_1|P6809
51
 
52
Running Premap on proj_1|P6809
53
 
54
premap Completed with warnings
55
Return Code: 1
56
Run Time:00h:00m:00s
57
 
58
 
59
Job Compile completed on proj_1|P6809
60
 
61
Running Map on proj_1|P6809
62
 
63
Running Map & Optimize on proj_1|P6809
64
 
65
fpga_mapper Completed with warnings
66
Return Code: 1
67
Run Time:00h:00m:18s
68
 
69
 
70
Job Map completed on proj_1|P6809
71
 
72
Copied /home/pacito/02_Elektronik/020_V6809/6809/opencores/trunk/syn/lattice/P6809/P6809_P6809.srr to /home/pacito/02_Elektronik/020_V6809/6809/opencores/trunk/syn/lattice/P6809/P6809_P6809.srf
73
 
74
Job Logic Synthesis completed on proj_1|P6809
75
 
76
TCL script complete: "P6809_P6809_synplify.tcl"
77
 
78
exit status=0
79
 
80
 
81
Copyright (C) 1992-2013 Lattice Semiconductor Corporation. All rights reserved.
82
Lattice Diamond Version 2.2.0.101
83
Child process exit with 0.
84
 
85
==contents of P6809_P6809.srf
86
#Build: Synplify Pro G-2012.09L-SP1 , Build 029R, Mar 11 2013
87
#install: /usr/local/diamond/2.2_x64/synpbase
88
#OS: Linux
89
#Hostname: node01.pacito.sys
90
 
91
#Implementation: P6809
92
 
93
$ Start of Compile
94
#Mon Dec 30 07:52:25 2013
95
 
96
Synopsys Verilog Compiler, version comp201209rcp1, Build 271R, built Mar 11 2013
97
@N|Running in 64-bit mode
98
Copyright (C) 1994-2012 Synopsys, Inc. This software the associated documentation are confidential and proprietary to Synopsys, Inc. Your use or disclosure of this software subject to the terms and conditions of a written license agreement between you, or your company, and Synopsys, Inc.
99
 
100
@I::"/usr/local/diamond/2.2_x64/synpbase/lib/lucent/machxo2.v"
101
@I::"/usr/local/diamond/2.2_x64/synpbase/lib/lucent/pmi_def.v"
102
@I::"/usr/local/diamond/2.2_x64/synpbase/lib/vlog/umr_capim.v"
103
@I::"/usr/local/diamond/2.2_x64/synpbase/lib/vlog/scemi_objects.v"
104
@I::"/usr/local/diamond/2.2_x64/synpbase/lib/vlog/scemi_pipes.svh"
105
@I::"/usr/local/diamond/2.2_x64/synpbase/lib/vlog/hypermods.v"
106
@I::"/usr/local/diamond/2.2_x64/cae_library/synthesis/verilog/machxo2.v"
107
@I::"/home/pacito/02_Elektronik/020_V6809/6809/opencores/trunk/syn/lattice/CC3_top.v"
108
@I::"/home/pacito/02_Elektronik/020_V6809/6809/opencores/trunk/rtl/verilog/alu16.v"
109
@I:"/home/pacito/02_Elektronik/020_V6809/6809/opencores/trunk/rtl/verilog/alu16.v":"/home/pacito/02_Elektronik/020_V6809/6809/opencores/trunk/rtl/verilog/defs.v"
110
@I::"/home/pacito/02_Elektronik/020_V6809/6809/opencores/trunk/rtl/verilog/decoders.v"
111
@I::"/home/pacito/02_Elektronik/020_V6809/6809/opencores/trunk/rtl/verilog/MC6809_cpu.v"
112
@I::"/home/pacito/02_Elektronik/020_V6809/6809/opencores/trunk/rtl/verilog/regblock.v"
113
@I::"/home/pacito/02_Elektronik/020_V6809/6809/opencores/trunk/syn/lattice/bios2k.v"
114
Verilog syntax check successful!
115
File /home/pacito/02_Elektronik/020_V6809/6809/opencores/trunk/rtl/verilog/decoders.v changed - recompiling
116
File /home/pacito/02_Elektronik/020_V6809/6809/opencores/trunk/rtl/verilog/MC6809_cpu.v changed - recompiling
117
Selecting top level module CC3_top
118
@N: CG364 :"/home/pacito/02_Elektronik/020_V6809/6809/opencores/trunk/rtl/verilog/alu16.v":13:7:13:11|Synthesizing module alu16
119
 
120
@W: CG532 :"/home/pacito/02_Elektronik/020_V6809/6809/opencores/trunk/rtl/verilog/alu16.v":500:0:500:6|Initial statement will only initialize memories through the usage of $readmemh and $readmemb. Everything else is ignored
121
@N: CG364 :"/home/pacito/02_Elektronik/020_V6809/6809/opencores/trunk/rtl/verilog/regblock.v":7:7:7:14|Synthesizing module regblock
122
 
123
@W: CG532 :"/home/pacito/02_Elektronik/020_V6809/6809/opencores/trunk/rtl/verilog/regblock.v":211:0:211:6|Initial statement will only initialize memories through the usage of $readmemh and $readmemb. Everything else is ignored
124
@N: CG364 :"/home/pacito/02_Elektronik/020_V6809/6809/opencores/trunk/rtl/verilog/decoders.v":9:7:9:17|Synthesizing module decode_regs
125
 
126
@N: CG364 :"/home/pacito/02_Elektronik/020_V6809/6809/opencores/trunk/rtl/verilog/decoders.v":130:7:130:15|Synthesizing module decode_op
127
 
128
@N: CG364 :"/home/pacito/02_Elektronik/020_V6809/6809/opencores/trunk/rtl/verilog/decoders.v":258:7:258:15|Synthesizing module decode_ea
129
 
130
@N: CG364 :"/home/pacito/02_Elektronik/020_V6809/6809/opencores/trunk/rtl/verilog/decoders.v":284:7:284:16|Synthesizing module decode_alu
131
 
132
@N: CG364 :"/home/pacito/02_Elektronik/020_V6809/6809/opencores/trunk/rtl/verilog/decoders.v":357:7:357:20|Synthesizing module test_condition
133
 
134
@N: CG364 :"/home/pacito/02_Elektronik/020_V6809/6809/opencores/trunk/rtl/verilog/MC6809_cpu.v":10:7:10:16|Synthesizing module MC6809_cpu
135
 
136
@N: CG793 :"/home/pacito/02_Elektronik/020_V6809/6809/opencores/trunk/rtl/verilog/MC6809_cpu.v":419:6:419:13|Ignoring system task $display
137
@W: CG532 :"/home/pacito/02_Elektronik/020_V6809/6809/opencores/trunk/rtl/verilog/MC6809_cpu.v":943:0:943:6|Initial statement will only initialize memories through the usage of $readmemh and $readmemb. Everything else is ignored
138
@A: CL282 :"/home/pacito/02_Elektronik/020_V6809/6809/opencores/trunk/rtl/verilog/MC6809_cpu.v":291:0:291:5|Feedback mux created for signal next_state[5:0] -- possible set/reset assignment for signal missing. Specifying a reset value will improve timing and area.
139
@A: CL282 :"/home/pacito/02_Elektronik/020_V6809/6809/opencores/trunk/rtl/verilog/MC6809_cpu.v":291:0:291:5|Feedback mux created for signal next_push_state[5:0] -- possible set/reset assignment for signal missing. Specifying a reset value will improve timing and area.
140
@A: CL282 :"/home/pacito/02_Elektronik/020_V6809/6809/opencores/trunk/rtl/verilog/MC6809_cpu.v":291:0:291:5|Feedback mux created for signal next_mem_state[5:0] -- possible set/reset assignment for signal missing. Specifying a reset value will improve timing and area.
141
@A: CL282 :"/home/pacito/02_Elektronik/020_V6809/6809/opencores/trunk/rtl/verilog/MC6809_cpu.v":291:0:291:5|Feedback mux created for signal k_write_post_incdec -- possible set/reset assignment for signal missing. Specifying a reset value will improve timing and area.
142
@A: CL282 :"/home/pacito/02_Elektronik/020_V6809/6809/opencores/trunk/rtl/verilog/MC6809_cpu.v":291:0:291:5|Feedback mux created for signal k_write_pc -- possible set/reset assignment for signal missing. Specifying a reset value will improve timing and area.
143
@A: CL282 :"/home/pacito/02_Elektronik/020_V6809/6809/opencores/trunk/rtl/verilog/MC6809_cpu.v":291:0:291:5|Feedback mux created for signal k_write_dest -- possible set/reset assignment for signal missing. Specifying a reset value will improve timing and area.
144
@A: CL282 :"/home/pacito/02_Elektronik/020_V6809/6809/opencores/trunk/rtl/verilog/MC6809_cpu.v":291:0:291:5|Feedback mux created for signal k_set_e -- possible set/reset assignment for signal missing. Specifying a reset value will improve timing and area.
145
@A: CL282 :"/home/pacito/02_Elektronik/020_V6809/6809/opencores/trunk/rtl/verilog/MC6809_cpu.v":291:0:291:5|Feedback mux created for signal k_pp_regs[7:0] -- possible set/reset assignment for signal missing. Specifying a reset value will improve timing and area.
146
@A: CL282 :"/home/pacito/02_Elektronik/020_V6809/6809/opencores/trunk/rtl/verilog/MC6809_cpu.v":291:0:291:5|Feedback mux created for signal k_pp_active_reg[7:0] -- possible set/reset assignment for signal missing. Specifying a reset value will improve timing and area.
147
@A: CL282 :"/home/pacito/02_Elektronik/020_V6809/6809/opencores/trunk/rtl/verilog/MC6809_cpu.v":291:0:291:5|Feedback mux created for signal k_postbyte0[7:0] -- possible set/reset assignment for signal missing. Specifying a reset value will improve timing and area.
148
@A: CL282 :"/home/pacito/02_Elektronik/020_V6809/6809/opencores/trunk/rtl/verilog/MC6809_cpu.v":291:0:291:5|Feedback mux created for signal k_p3_valid -- possible set/reset assignment for signal missing. Specifying a reset value will improve timing and area.
149
@A: CL282 :"/home/pacito/02_Elektronik/020_V6809/6809/opencores/trunk/rtl/verilog/MC6809_cpu.v":291:0:291:5|Feedback mux created for signal k_p2_valid -- possible set/reset assignment for signal missing. Specifying a reset value will improve timing and area.
150
@A: CL282 :"/home/pacito/02_Elektronik/020_V6809/6809/opencores/trunk/rtl/verilog/MC6809_cpu.v":291:0:291:5|Feedback mux created for signal k_opcode[7:0] -- possible set/reset assignment for signal missing. Specifying a reset value will improve timing and area.
151
@A: CL282 :"/home/pacito/02_Elektronik/020_V6809/6809/opencores/trunk/rtl/verilog/MC6809_cpu.v":291:0:291:5|Feedback mux created for signal k_ofslo[7:0] -- possible set/reset assignment for signal missing. Specifying a reset value will improve timing and area.
152
@A: CL282 :"/home/pacito/02_Elektronik/020_V6809/6809/opencores/trunk/rtl/verilog/MC6809_cpu.v":291:0:291:5|Feedback mux created for signal k_ofshi[7:0] -- possible set/reset assignment for signal missing. Specifying a reset value will improve timing and area.
153
@A: CL282 :"/home/pacito/02_Elektronik/020_V6809/6809/opencores/trunk/rtl/verilog/MC6809_cpu.v":291:0:291:5|Feedback mux created for signal k_memlo[7:0] -- possible set/reset assignment for signal missing. Specifying a reset value will improve timing and area.
154
@A: CL282 :"/home/pacito/02_Elektronik/020_V6809/6809/opencores/trunk/rtl/verilog/MC6809_cpu.v":291:0:291:5|Feedback mux created for signal k_memhi[7:0] -- possible set/reset assignment for signal missing. Specifying a reset value will improve timing and area.
155
@A: CL282 :"/home/pacito/02_Elektronik/020_V6809/6809/opencores/trunk/rtl/verilog/MC6809_cpu.v":291:0:291:5|Feedback mux created for signal k_mem_dest[1:0] -- possible set/reset assignment for signal missing. Specifying a reset value will improve timing and area.
156
@A: CL282 :"/home/pacito/02_Elektronik/020_V6809/6809/opencores/trunk/rtl/verilog/MC6809_cpu.v":291:0:291:5|Feedback mux created for signal k_ind_ea[7:0] -- possible set/reset assignment for signal missing. Specifying a reset value will improve timing and area.
157
@A: CL282 :"/home/pacito/02_Elektronik/020_V6809/6809/opencores/trunk/rtl/verilog/MC6809_cpu.v":291:0:291:5|Feedback mux created for signal k_inc_su -- possible set/reset assignment for signal missing. Specifying a reset value will improve timing and area.
158
@A: CL282 :"/home/pacito/02_Elektronik/020_V6809/6809/opencores/trunk/rtl/verilog/MC6809_cpu.v":291:0:291:5|Feedback mux created for signal k_inc_pc -- possible set/reset assignment for signal missing. Specifying a reset value will improve timing and area.
159
@A: CL282 :"/home/pacito/02_Elektronik/020_V6809/6809/opencores/trunk/rtl/verilog/MC6809_cpu.v":291:0:291:5|Feedback mux created for signal k_forced_mem_size -- possible set/reset assignment for signal missing. Specifying a reset value will improve timing and area.
160
@A: CL282 :"/home/pacito/02_Elektronik/020_V6809/6809/opencores/trunk/rtl/verilog/MC6809_cpu.v":291:0:291:5|Feedback mux created for signal k_ealo[7:0] -- possible set/reset assignment for signal missing. Specifying a reset value will improve timing and area.
161
@A: CL282 :"/home/pacito/02_Elektronik/020_V6809/6809/opencores/trunk/rtl/verilog/MC6809_cpu.v":291:0:291:5|Feedback mux created for signal k_eahi[7:0] -- possible set/reset assignment for signal missing. Specifying a reset value will improve timing and area.
162
@A: CL282 :"/home/pacito/02_Elektronik/020_V6809/6809/opencores/trunk/rtl/verilog/MC6809_cpu.v":291:0:291:5|Feedback mux created for signal k_dec_su -- possible set/reset assignment for signal missing. Specifying a reset value will improve timing and area.
163
@A: CL282 :"/home/pacito/02_Elektronik/020_V6809/6809/opencores/trunk/rtl/verilog/MC6809_cpu.v":291:0:291:5|Feedback mux created for signal k_cpu_we -- possible set/reset assignment for signal missing. Specifying a reset value will improve timing and area.
164
@A: CL282 :"/home/pacito/02_Elektronik/020_V6809/6809/opencores/trunk/rtl/verilog/MC6809_cpu.v":291:0:291:5|Feedback mux created for signal k_cpu_oe -- possible set/reset assignment for signal missing. Specifying a reset value will improve timing and area.
165
@A: CL282 :"/home/pacito/02_Elektronik/020_V6809/6809/opencores/trunk/rtl/verilog/MC6809_cpu.v":291:0:291:5|Feedback mux created for signal k_cpu_data_o[7:0] -- possible set/reset assignment for signal missing. Specifying a reset value will improve timing and area.
166
@A: CL282 :"/home/pacito/02_Elektronik/020_V6809/6809/opencores/trunk/rtl/verilog/MC6809_cpu.v":291:0:291:5|Feedback mux created for signal k_cpu_addr[15:0] -- possible set/reset assignment for signal missing. Specifying a reset value will improve timing and area.
167
@A: CL282 :"/home/pacito/02_Elektronik/020_V6809/6809/opencores/trunk/rtl/verilog/MC6809_cpu.v":291:0:291:5|Feedback mux created for signal k_clear_e -- possible set/reset assignment for signal missing. Specifying a reset value will improve timing and area.
168
@W: CL189 :"/home/pacito/02_Elektronik/020_V6809/6809/opencores/trunk/rtl/verilog/MC6809_cpu.v":291:0:291:5|Register bit k_mem_dest[0] is always 1, optimizing ...
169
@W: CL189 :"/home/pacito/02_Elektronik/020_V6809/6809/opencores/trunk/rtl/verilog/MC6809_cpu.v":291:0:291:5|Register bit k_mem_dest[1] is always 0, optimizing ...
170
@W: CL189 :"/home/pacito/02_Elektronik/020_V6809/6809/opencores/trunk/rtl/verilog/MC6809_cpu.v":291:0:291:5|Register bit next_mem_state[1] is always 0, optimizing ...
171
@W: CL189 :"/home/pacito/02_Elektronik/020_V6809/6809/opencores/trunk/rtl/verilog/MC6809_cpu.v":291:0:291:5|Register bit next_mem_state[2] is always 0, optimizing ...
172
@W: CL279 :"/home/pacito/02_Elektronik/020_V6809/6809/opencores/trunk/rtl/verilog/MC6809_cpu.v":291:0:291:5|Pruning register bits 2 to 1 of next_mem_state[5:0]
173
 
174
@N: CG364 :"/usr/local/diamond/2.2_x64/cae_library/synthesis/verilog/machxo2.v":1120:7:1120:9|Synthesizing module VHI
175
 
176
@N: CG364 :"/usr/local/diamond/2.2_x64/cae_library/synthesis/verilog/machxo2.v":1291:7:1291:11|Synthesizing module DP8KC
177
 
178
@N: CG364 :"/usr/local/diamond/2.2_x64/cae_library/synthesis/verilog/machxo2.v":1124:7:1124:9|Synthesizing module VLO
179
 
180
@N: CG364 :"/home/pacito/02_Elektronik/020_V6809/6809/opencores/trunk/syn/lattice/bios2k.v":8:7:8:12|Synthesizing module bios2k
181
 
182
@W: CL168 :"/home/pacito/02_Elektronik/020_V6809/6809/opencores/trunk/syn/lattice/bios2k.v":28:8:28:21|Pruning instance scuba_vhi_inst -- not in use ...
183
 
184
@N: CG364 :"/home/pacito/02_Elektronik/020_V6809/6809/opencores/trunk/syn/lattice/CC3_top.v":10:7:10:13|Synthesizing module CC3_top
185
 
186
@W: CG133 :"/home/pacito/02_Elektronik/020_V6809/6809/opencores/trunk/syn/lattice/CC3_top.v":31:14:31:21|No assignment to clk_div2
187
@W: CG360 :"/home/pacito/02_Elektronik/020_V6809/6809/opencores/trunk/syn/lattice/CC3_top.v":36:25:36:35|No assignment to wire cpu1_addr_o
188
 
189
@W: CG360 :"/home/pacito/02_Elektronik/020_V6809/6809/opencores/trunk/syn/lattice/CC3_top.v":37:40:37:51|No assignment to wire cpu1_data_in
190
 
191
@W: CG360 :"/home/pacito/02_Elektronik/020_V6809/6809/opencores/trunk/syn/lattice/CC3_top.v":37:54:37:66|No assignment to wire cpu1_data_out
192
 
193
@W: CG360 :"/home/pacito/02_Elektronik/020_V6809/6809/opencores/trunk/syn/lattice/CC3_top.v":38:23:38:29|No assignment to wire cpu1_we
194
 
195
@W: CG360 :"/home/pacito/02_Elektronik/020_V6809/6809/opencores/trunk/syn/lattice/CC3_top.v":38:32:38:38|No assignment to wire cpu1_oe
196
 
197
@W: CL156 :"/home/pacito/02_Elektronik/020_V6809/6809/opencores/trunk/syn/lattice/CC3_top.v":37:54:37:66|*Input cpu1_data_out[7:0] to expression [instance] has undriven bits that are tied to 0 -- simulation mismatch possible.
198
@W: CL156 :"/home/pacito/02_Elektronik/020_V6809/6809/opencores/trunk/syn/lattice/CC3_top.v":36:25:36:35|*Input cpu1_addr_o[10:0] to expression [instance] has undriven bits that are tied to 0 -- simulation mismatch possible.
199
@W: CL279 :"/home/pacito/02_Elektronik/020_V6809/6809/opencores/trunk/rtl/verilog/MC6809_cpu.v":291:0:291:5|Pruning register bits 5 to 2 of next_push_state[5:0]
200
 
201
@W: CL246 :"/home/pacito/02_Elektronik/020_V6809/6809/opencores/trunk/rtl/verilog/decoders.v":361:18:361:20|Input port bits 7 to 4 of CCR[7:0] are unused
202
 
203
@W: CL246 :"/home/pacito/02_Elektronik/020_V6809/6809/opencores/trunk/rtl/verilog/decoders.v":286:18:286:26|Input port bits 5 to 4 of postbyte0[7:0] are unused
204
 
205
@W: CL246 :"/home/pacito/02_Elektronik/020_V6809/6809/opencores/trunk/rtl/verilog/decoders.v":259:18:259:27|Input port bits 6 to 5 of eapostbyte[7:0] are unused
206
 
207
@END
208
Process took 0h:00m:01s realtime, 0h:00m:01s cputime
209
# Mon Dec 30 07:52:26 2013
210
 
211
###########################################################]
212
Premap Report
213
 
214
Synopsys Lattice Technology Pre-mapping, Version maplat, Build 618R, Built Mar 14 2013 09:13:46
215
Copyright (C) 1994-2012, Synopsys Inc. This software the associated documentation are confidential and proprietary to Synopsys, Inc. Your use or disclosure of this software subject to the terms and conditions of a written license agreement between you, or your company, and Synopsys, Inc.
216
Product Version G-2012.09L-SP1
217
 
218
Mapper Startup Complete (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 91MB peak: 92MB)
219
 
220
@L: /home/pacito/02_Elektronik/020_V6809/6809/opencores/trunk/syn/lattice/P6809/P6809_P6809_scck.rpt
221
Printing clock  summary report in "/home/pacito/02_Elektronik/020_V6809/6809/opencores/trunk/syn/lattice/P6809/P6809_P6809_scck.rpt" file
222
@N: MF248 |Running in 64-bit mode.
223
@N: MF666 |Clock conversion enabled
224
 
225
Design Input Complete (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 93MB peak: 94MB)
226
 
227
 
228
Mapper Initialization Complete (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 94MB peak: 94MB)
229
 
230
 
231
Start loading timing files (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 108MB peak: 109MB)
232
 
233
 
234
Finished loading timing files (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 108MB peak: 111MB)
235
 
236
 
237
 
238
Clock Summary
239
**************
240
 
241
Start                             Requested     Requested     Clock                              Clock
242
Clock                             Frequency     Period        Type                               Group
243
--------------------------------------------------------------------------------------------------------------------
244
CC3_top|clk40_i                   1.0 MHz       1000.000      inferred                           Inferred_clkgroup_0
245
CC3_top|cpu_clk_derived_clock     1.0 MHz       1000.000      derived (from CC3_top|clk40_i)     Inferred_clkgroup_0
246
====================================================================================================================
247
 
248
@W: MT529 :"/home/pacito/02_Elektronik/020_V6809/6809/opencores/trunk/syn/lattice/bios2k.v":74:10:74:21|Found inferred clock CC3_top|clk40_i which controls 1 sequential elements including bios.bios2k_0_0_1. This clock has no specified timing constraint which may prevent conversion of gated or generated clocks and may adversely impact design performance.
249
 
250
syn_allowed_resources : blockrams=26  set on top level netlist CC3_top
251
Finished Pre Mapping Phase.Pre-mapping successful!
252
 
253
At Mapper Exit (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 71MB peak: 136MB)
254
 
255
Process took 0h:00m:01s realtime, 0h:00m:01s cputime
256
# Mon Dec 30 07:52:27 2013
257
 
258
###########################################################]
259
Map & Optimize Report
260
 
261
Synopsys Lattice Technology Mapper, Version maplat, Build 618R, Built Mar 14 2013 09:13:46
262
Copyright (C) 1994-2012, Synopsys Inc. This software the associated documentation are confidential and proprietary to Synopsys, Inc. Your use or disclosure of this software subject to the terms and conditions of a written license agreement between you, or your company, and Synopsys, Inc.
263
Product Version G-2012.09L-SP1
264
 
265
Mapper Startup Complete (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 91MB peak: 92MB)
266
 
267
@N: MF248 |Running in 64-bit mode.
268
@N: MF666 |Clock conversion enabled
269
 
270
Design Input Complete (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 91MB peak: 92MB)
271
 
272
 
273
Mapper Initialization Complete (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 91MB peak: 92MB)
274
 
275
 
276
Start loading timing files (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 105MB peak: 106MB)
277
 
278
 
279
Finished loading timing files (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 105MB peak: 108MB)
280
 
281
 
282
 
283
Starting Optimization and Mapping (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 133MB peak: 135MB)
284
 
285
 
286
Available hyper_sources - for debug and ip models
287
        None Found
288
 
289
 
290
Finished RTL optimizations (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 133MB peak: 135MB)
291
 
292
@N: BN362 :"/home/pacito/02_Elektronik/020_V6809/6809/opencores/trunk/rtl/verilog/MC6809_cpu.v":291:0:291:5|Removing sequential instance k_reg_firq[0] in hierarchy view:work.MC6809_cpu(verilog) because there are no references to its outputs
293
@N: BN362 :"/home/pacito/02_Elektronik/020_V6809/6809/opencores/trunk/rtl/verilog/MC6809_cpu.v":291:0:291:5|Removing sequential instance k_reg_irq[0] in hierarchy view:work.MC6809_cpu(verilog) because there are no references to its outputs
294
@N: BN362 :"/home/pacito/02_Elektronik/020_V6809/6809/opencores/trunk/rtl/verilog/MC6809_cpu.v":291:0:291:5|Removing sequential instance k_reg_nmi[0] in hierarchy view:work.MC6809_cpu(verilog) because there are no references to its outputs
295
@N:"/home/pacito/02_Elektronik/020_V6809/6809/opencores/trunk/rtl/verilog/regblock.v":168:0:168:5|Found counter in view:work.regblock(verilog) inst PC[15:0]
296
@N: BN362 :"/home/pacito/02_Elektronik/020_V6809/6809/opencores/trunk/rtl/verilog/MC6809_cpu.v":291:0:291:5|Removing sequential instance k_clear_e in hierarchy view:work.MC6809_cpu(verilog) because there are no references to its outputs
297
@N: BN362 :"/home/pacito/02_Elektronik/020_V6809/6809/opencores/trunk/rtl/verilog/MC6809_cpu.v":291:0:291:5|Removing sequential instance k_set_e in hierarchy view:work.MC6809_cpu(verilog) because there are no references to its outputs
298
@N: BN362 :"/home/pacito/02_Elektronik/020_V6809/6809/opencores/trunk/rtl/verilog/MC6809_cpu.v":291:0:291:5|Removing sequential instance k_reg_firq[1] in hierarchy view:work.MC6809_cpu(verilog) because there are no references to its outputs
299
@N: BN362 :"/home/pacito/02_Elektronik/020_V6809/6809/opencores/trunk/rtl/verilog/MC6809_cpu.v":291:0:291:5|Removing sequential instance k_reg_irq[1] in hierarchy view:work.MC6809_cpu(verilog) because there are no references to its outputs
300
@N: BN362 :"/home/pacito/02_Elektronik/020_V6809/6809/opencores/trunk/rtl/verilog/MC6809_cpu.v":291:0:291:5|Removing sequential instance k_reg_nmi[1] in hierarchy view:work.MC6809_cpu(verilog) because there are no references to its outputs
301
@N: BN362 :"/home/pacito/02_Elektronik/020_V6809/6809/opencores/trunk/rtl/verilog/regblock.v":168:0:168:5|Removing sequential instance regs.fflag in hierarchy view:work.MC6809_cpu(verilog) because there are no references to its outputs
302
@N: BN362 :"/home/pacito/02_Elektronik/020_V6809/6809/opencores/trunk/rtl/verilog/regblock.v":168:0:168:5|Removing sequential instance regs.intff in hierarchy view:work.MC6809_cpu(verilog) because there are no references to its outputs
303
@N: BN362 :"/home/pacito/02_Elektronik/020_V6809/6809/opencores/trunk/rtl/verilog/regblock.v":168:0:168:5|Removing sequential instance regs.eflag in hierarchy view:work.MC6809_cpu(verilog) because there are no references to its outputs
304
 
305
Finished factoring (Real Time elapsed 0h:00m:01s; CPU Time elapsed 0h:00m:01s; Memory used current: 153MB peak: 154MB)
306
 
307
@N: BN362 :"/home/pacito/02_Elektronik/020_V6809/6809/opencores/trunk/rtl/verilog/MC6809_cpu.v":291:0:291:5|Removing sequential instance cpu0.k_reg_firq[2] in hierarchy view:work.CC3_top(verilog) because there are no references to its outputs
308
@N: BN362 :"/home/pacito/02_Elektronik/020_V6809/6809/opencores/trunk/rtl/verilog/MC6809_cpu.v":291:0:291:5|Removing sequential instance cpu0.k_reg_irq[2] in hierarchy view:work.CC3_top(verilog) because there are no references to its outputs
309
@N: BN362 :"/home/pacito/02_Elektronik/020_V6809/6809/opencores/trunk/rtl/verilog/MC6809_cpu.v":291:0:291:5|Removing sequential instance cpu0.k_reg_nmi[2] in hierarchy view:work.CC3_top(verilog) because there are no references to its outputs
310
 
311
Finished gated-clock and generated-clock conversion (Real Time elapsed 0h:00m:01s; CPU Time elapsed 0h:00m:01s; Memory used current: 150MB peak: 156MB)
312
 
313
 
314
 
315
Finished generic timing optimizations - Pass 1 (Real Time elapsed 0h:00m:02s; CPU Time elapsed 0h:00m:02s; Memory used current: 146MB peak: 159MB)
316
 
317
@N: FA113 :"/home/pacito/02_Elektronik/020_V6809/6809/opencores/trunk/rtl/verilog/regblock.v":205:2:205:3|Pipelining module un1_data_w_1[15:0]
318
@N: MF169 :"/home/pacito/02_Elektronik/020_V6809/6809/opencores/trunk/rtl/verilog/regblock.v":168:0:168:5|Register SU[15:0] pushed in.
319
@N: MF169 :"/home/pacito/02_Elektronik/020_V6809/6809/opencores/trunk/rtl/verilog/regblock.v":168:0:168:5|Register ACCB[7:0] pushed in.
320
@N: MF169 :"/home/pacito/02_Elektronik/020_V6809/6809/opencores/trunk/rtl/verilog/regblock.v":168:0:168:5|Register DP[7:0] pushed in.
321
@N: MF169 :"/home/pacito/02_Elektronik/020_V6809/6809/opencores/trunk/rtl/verilog/alu16.v":380:0:380:5|Register regq16[15:0] pushed in.
322
@N: MF169 :"/home/pacito/02_Elektronik/020_V6809/6809/opencores/trunk/rtl/verilog/regblock.v":168:0:168:5|Register SS[15:0] pushed in.
323
@N: MF169 :"/home/pacito/02_Elektronik/020_V6809/6809/opencores/trunk/rtl/verilog/regblock.v":168:0:168:5|Register IY[15:0] pushed in.
324
@N: MF169 :"/home/pacito/02_Elektronik/020_V6809/6809/opencores/trunk/rtl/verilog/regblock.v":168:0:168:5|Register IX[15:0] pushed in.
325
@N: MF169 :"/home/pacito/02_Elektronik/020_V6809/6809/opencores/trunk/rtl/verilog/regblock.v":168:0:168:5|Register ACCA[7:0] pushed in.
326
@N: MF169 :"/home/pacito/02_Elektronik/020_V6809/6809/opencores/trunk/rtl/verilog/alu16.v":380:0:380:5|Register regq8[7:0] pushed in.
327
@N: MF169 :"/home/pacito/02_Elektronik/020_V6809/6809/opencores/trunk/rtl/verilog/MC6809_cpu.v":291:0:291:5|Register k_ind_ea[7:0] pushed in.
328
@N: MF169 :"/home/pacito/02_Elektronik/020_V6809/6809/opencores/trunk/rtl/verilog/regblock.v":168:0:168:5|Register vff pushed in.
329
@N: MF169 :"/home/pacito/02_Elektronik/020_V6809/6809/opencores/trunk/rtl/verilog/regblock.v":168:0:168:5|Register zff pushed in.
330
@N: MF169 :"/home/pacito/02_Elektronik/020_V6809/6809/opencores/trunk/rtl/verilog/regblock.v":168:0:168:5|Register nff pushed in.
331
@N: MF169 :"/home/pacito/02_Elektronik/020_V6809/6809/opencores/trunk/rtl/verilog/regblock.v":168:0:168:5|Register hflag pushed in.
332
@N: MF169 :"/home/pacito/02_Elektronik/020_V6809/6809/opencores/trunk/rtl/verilog/MC6809_cpu.v":291:0:291:5|Register k_memhi[7:0] pushed in.
333
@N: MF169 :"/home/pacito/02_Elektronik/020_V6809/6809/opencores/trunk/rtl/verilog/MC6809_cpu.v":291:0:291:5|Register k_ealo[7:0] pushed in.
334
@N: MF169 :"/home/pacito/02_Elektronik/020_V6809/6809/opencores/trunk/rtl/verilog/alu16.v":380:0:380:5|Register reg_z_in pushed in.
335
@N: MF169 :"/home/pacito/02_Elektronik/020_V6809/6809/opencores/trunk/rtl/verilog/alu16.v":380:0:380:5|Register reg_n_in pushed in.
336
@N: MF169 :"/home/pacito/02_Elektronik/020_V6809/6809/opencores/trunk/rtl/verilog/MC6809_cpu.v":291:0:291:5|Register k_eahi[7:0] pushed in.
337
@N: FX404 :"/home/pacito/02_Elektronik/020_V6809/6809/opencores/trunk/rtl/verilog/MC6809_cpu.v":256:2:256:5|Found addmux in view:work.CC3_top(verilog) inst cpu0.alu.k_new_pc_1[15:0] from cpu0.un1_regs_o_pc[15:0]
338
 
339
Starting Early Timing Optimization (Real Time elapsed 0h:00m:12s; CPU Time elapsed 0h:00m:12s; Memory used current: 149MB peak: 159MB)
340
 
341
 
342
Finished Early Timing Optimization (Real Time elapsed 0h:00m:12s; CPU Time elapsed 0h:00m:12s; Memory used current: 149MB peak: 159MB)
343
 
344
 
345
Finished generic timing optimizations - Pass 2 (Real Time elapsed 0h:00m:12s; CPU Time elapsed 0h:00m:12s; Memory used current: 148MB peak: 159MB)
346
 
347
 
348
Finished preparing to map (Real Time elapsed 0h:00m:12s; CPU Time elapsed 0h:00m:12s; Memory used current: 147MB peak: 159MB)
349
 
350
 
351
Finished technology mapping (Real Time elapsed 0h:00m:15s; CPU Time elapsed 0h:00m:15s; Memory used current: 175MB peak: 226MB)
352
 
353
Pass             CPU time               Worst Slack             Luts / Registers
354
------------------------------------------------------------
355
Pass             CPU time               Worst Slack             Luts / Registers
356
------------------------------------------------------------
357
------------------------------------------------------------
358
 
359
 
360
Finished technology timing optimizations and critical path resynthesis (Real Time elapsed 0h:00m:15s; CPU Time elapsed 0h:00m:15s; Memory used current: 175MB peak: 226MB)
361
 
362
@N: FX164 |The option to pack flops in the IOB has not been specified
363
 
364
Finished restoring hierarchy (Real Time elapsed 0h:00m:16s; CPU Time elapsed 0h:00m:16s; Memory used current: 176MB peak: 226MB)
365
 
366
 
367
 
368
#### START OF CLOCK OPTIMIZATION REPORT #####[
369
 
370
1 non-gated/non-generated clock tree(s) driving 408 clock pin(s) of sequential element(s)
371
 
372
212 @K:conv_instances converted, 0 sequential instances remain driven by gated/generated clocks
373
 
374
=========================== Non-Gated/Non-Generated Clocks ============================
375
Clock Tree ID     Driving Element     Drive Element Type     Fanout     Sample Instance
376
---------------------------------------------------------------------------------------
377
@K:CKID0001       clk40_i             port                   408        cpu_clk
378
=======================================================================================
379
===== Gated/Generated Clocks =====
380
************** None **************
381
----------------------------------
382
==================================
383
 
384
 
385
##### END OF CLOCK OPTIMIZATION REPORT ######]
386
 
387
Writing Analyst data base /home/pacito/02_Elektronik/020_V6809/6809/opencores/trunk/syn/lattice/P6809/P6809_P6809.srm
388
 
389
Finished Writing Netlist Databases (Real Time elapsed 0h:00m:16s; CPU Time elapsed 0h:00m:16s; Memory used current: 177MB peak: 226MB)
390
 
391
Writing EDIF Netlist and constraint files
392
G-2012.09L-SP1
393
@N: BW106 |Synplicity Constraint File capacitance units using default value of 1pF
394
 
395
Finished Writing EDIF Netlist and constraint files (Real Time elapsed 0h:00m:16s; CPU Time elapsed 0h:00m:16s; Memory used current: 182MB peak: 226MB)
396
 
397
@W: MT420 |Found inferred clock CC3_top|clk40_i with period 1000.00ns. Please declare a user-defined clock on object "p:clk40_i"
398
 
399
 
400
 
401
##### START OF TIMING REPORT #####[
402
# Timing Report written on Mon Dec 30 07:52:44 2013
403
#
404
 
405
 
406
Top view:               CC3_top
407
Requested Frequency:    1.0 MHz
408
Wire load mode:         top
409
Paths requested:        5
410
Constraint File(s):
411
@N: MT320 |Timing report estimates place and route data. Please look at the place and route timing report for final timing.
412
 
413
@N: MT322 |Clock constraints cover only FF-to-FF paths associated with the clock.
414
 
415
 
416
 
417
Performance Summary
418
*******************
419
 
420
 
421
Worst slack in design: 972.475
422
 
423
                    Requested     Estimated     Requested     Estimated                 Clock        Clock
424
Starting Clock      Frequency     Frequency     Period        Period        Slack       Type         Group
425
------------------------------------------------------------------------------------------------------------------------
426
CC3_top|clk40_i     1.0 MHz       36.3 MHz      1000.000      27.525        972.475     inferred     Inferred_clkgroup_0
427
========================================================================================================================
428
 
429
 
430
 
431
 
432
 
433
Clock Relationships
434
*******************
435
 
436
Clocks                            |    rise  to  rise     |    fall  to  fall   |    rise  to  fall   |    fall  to  rise
437
--------------------------------------------------------------------------------------------------------------------------
438
Starting         Ending           |  constraint  slack    |  constraint  slack  |  constraint  slack  |  constraint  slack
439
--------------------------------------------------------------------------------------------------------------------------
440
CC3_top|clk40_i  CC3_top|clk40_i  |  1000.000    972.475  |  No paths    -      |  No paths    -      |  No paths    -
441
==========================================================================================================================
442
 Note: 'No paths' indicates there are no paths in the design for that pair of clock edges.
443
       'Diff grp' indicates that paths exist but the starting clock and ending clock are in different clock groups.
444
 
445
 
446
 
447
Interface Information
448
*********************
449
 
450
No IO constraint found
451
 
452
 
453
 
454
====================================
455
Detailed Report for Clock: CC3_top|clk40_i
456
====================================
457
 
458
 
459
 
460
Starting Points with Worst Slack
461
********************************
462
 
463
                        Starting                                                   Arrival
464
Instance                Reference           Type        Pin     Net                Time        Slack
465
                        Clock
466
------------------------------------------------------------------------------------------------------
467
cpu0.k_opcode[7]        CC3_top|clk40_i     FD1P3AX     Q       k_opcode[7]        1.341       972.475
468
cpu0.k_opcode[6]        CC3_top|clk40_i     FD1P3AX     Q       k_opcode[6]        1.333       972.482
469
cpu0.k_opcode[2]        CC3_top|clk40_i     FD1P3AX     Q       k_opcode[2]        1.358       972.578
470
cpu0.k_opcode[0]        CC3_top|clk40_i     FD1P3AX     Q       k_opcode[0]        1.350       972.586
471
cpu0.k_postbyte0[7]     CC3_top|clk40_i     FD1P3AX     Q       k_postbyte0[7]     1.284       972.652
472
cpu0.k_postbyte0[1]     CC3_top|clk40_i     FD1P3AX     Q       k_postbyte0[1]     1.280       972.656
473
cpu0.k_postbyte0[2]     CC3_top|clk40_i     FD1P3AX     Q       k_postbyte0[2]     1.260       972.676
474
cpu0.k_opcode[1]        CC3_top|clk40_i     FD1P3AX     Q       k_opcode[1]        1.349       972.763
475
cpu0.k_opcode[4]        CC3_top|clk40_i     FD1P3AX     Q       k_opcode[4]        1.326       972.786
476
cpu0.k_opcode[5]        CC3_top|clk40_i     FD1P3AX     Q       k_opcode[5]        1.312       972.800
477
======================================================================================================
478
 
479
 
480
Ending Points with Worst Slack
481
******************************
482
 
483
                        Starting                                            Required
484
Instance                Reference           Type        Pin     Net         Time         Slack
485
                        Clock
486
------------------------------------------------------------------------------------------------
487
cpu0.regs.cff           CC3_top|clk40_i     FD1P3AX     D       cff_6       1000.089     972.475
488
cpu0.alu.regq16[7]      CC3_top|clk40_i     FD1P3AX     D       q16[7]      1000.089     973.138
489
cpu0.alu.regq16[5]      CC3_top|clk40_i     FD1P3AX     D       q16[5]      1000.462     973.597
490
cpu0.alu.regq16[6]      CC3_top|clk40_i     FD1P3AX     D       q16[6]      1000.462     973.597
491
cpu0.alu.regq16[15]     CC3_top|clk40_i     FD1P3AX     D       q16[15]     1000.462     973.737
492
cpu0.alu.regq16[13]     CC3_top|clk40_i     FD1P3AX     D       q16[13]     1000.462     973.880
493
cpu0.alu.regq16[14]     CC3_top|clk40_i     FD1P3AX     D       q16[14]     1000.462     973.880
494
cpu0.alu.regq16[11]     CC3_top|clk40_i     FD1P3AX     D       q16[11]     1000.462     974.023
495
cpu0.alu.regq16[12]     CC3_top|clk40_i     FD1P3AX     D       q16[12]     1000.462     974.023
496
cpu0.alu.regq16[9]      CC3_top|clk40_i     FD1P3AX     D       q16[9]      1000.462     974.165
497
================================================================================================
498
 
499
 
500
 
501
Worst Path Information
502
***********************
503
 
504
 
505
Path information for path number 1:
506
      Requested Period:                      1000.000
507
    - Setup time:                            -0.089
508
    + Clock delay at ending point:           0.000 (ideal)
509
    = Required time:                         1000.089
510
 
511
    - Propagation time:                      27.613
512
    - Clock delay at starting point:         0.000 (ideal)
513
    = Slack (critical) :                     972.475
514
 
515
    Number of logic level(s):                26
516
    Starting point:                          cpu0.k_opcode[7] / Q
517
    Ending point:                            cpu0.regs.cff / D
518
    The start point is clocked by            CC3_top|clk40_i [rising] on pin CK
519
    The end   point is clocked by            CC3_top|clk40_i [rising] on pin CK
520
 
521
Instance / Net                                                          Pin      Pin                Arrival     No. of
522
Name                                                       Type         Name     Dir     Delay      Time        Fan Out(s)
523
--------------------------------------------------------------------------------------------------------------------------
524
cpu0.k_opcode[7]                                           FD1P3AX      Q        Out     1.341      1.341       -
525
k_opcode[7]                                                Net          -        -       -          -           42
526
cpu0.dec_op.mode_4_0_o5[2]                                 ORCALUT4     B        In      0.000      1.341       -
527
cpu0.dec_op.mode_4_0_o5[2]                                 ORCALUT4     Z        Out     1.313      2.653       -
528
N_222                                                      Net          -        -       -          -           17
529
cpu0.dec_regs.un1_dest_reg_3_sqmuxa_1_1_2                  ORCALUT4     B        In      0.000      2.653       -
530
cpu0.dec_regs.un1_dest_reg_3_sqmuxa_1_1_2                  ORCALUT4     Z        Out     1.017      3.670       -
531
un1_dest_reg_3_sqmuxa_1_1_2                                Net          -        -       -          -           1
532
cpu0.dec_regs.un1_dest_reg_3_sqmuxa_1_1                    ORCALUT4     C        In      0.000      3.670       -
533
cpu0.dec_regs.un1_dest_reg_3_sqmuxa_1_1                    ORCALUT4     Z        Out     1.193      4.863       -
534
un1_dest_reg_3_sqmuxa_1_0                                  Net          -        -       -          -           4
535
cpu0.dec_regs.un1_path_left_addr29_RNIG19H                 ORCALUT4     A        In      0.000      4.863       -
536
cpu0.dec_regs.un1_path_left_addr29_RNIG19H                 ORCALUT4     Z        Out     1.089      5.952       -
537
N_491                                                      Net          -        -       -          -           2
538
cpu0.dec_regs.path_left_addr_cnst_0[0]                     ORCALUT4     B        In      0.000      5.952       -
539
cpu0.dec_regs.path_left_addr_cnst_0[0]                     ORCALUT4     Z        Out     1.017      6.969       -
540
path_left_addr_cnst[0]                                     Net          -        -       -          -           1
541
cpu0.dec_regs.path_left_addr[0]                            ORCALUT4     B        In      0.000      6.969       -
542
cpu0.dec_regs.path_left_addr[0]                            ORCALUT4     Z        Out     0.449      7.417       -
543
dec_o_left_path_addr[0]                                    Net          -        -       -          -           2
544
cpu0.regs.datamux_o_alu_in_left_path_addr_1_0[0]           ORCALUT4     B        In      0.000      7.417       -
545
cpu0.regs.datamux_o_alu_in_left_path_addr_1_0[0]           ORCALUT4     Z        Out     1.089      8.506       -
546
N_1048                                                     Net          -        -       -          -           2
547
cpu0.regs.datamux_o_alu_in_left_path_addr_1[0]             ORCALUT4     A        In      0.000      8.506       -
548
cpu0.regs.datamux_o_alu_in_left_path_addr_1[0]             ORCALUT4     Z        Out     1.406      9.913       -
549
datamux_o_alu_in_left_path_addr_1[0]                       Net          -        -       -          -           55
550
cpu0.regs.datamux_o_alu_in_left_path_addr_1_RNIL7SL[2]     ORCALUT4     A        In      0.000      9.913       -
551
cpu0.regs.datamux_o_alu_in_left_path_addr_1_RNIL7SL[2]     ORCALUT4     Z        Out     1.297      11.209      -
552
N_339                                                      Net          -        -       -          -           13
553
cpu0.regs.path_left_data_6[0]                              ORCALUT4     B        In      0.000      11.209      -
554
cpu0.regs.path_left_data_6[0]                              ORCALUT4     Z        Out     1.017      12.226      -
555
N_375                                                      Net          -        -       -          -           1
556
cpu0.regs.path_left_data[0]                                PFUMX        ALUT     In      0.000      12.226      -
557
cpu0.regs.path_left_data[0]                                PFUMX        Z        Out     0.350      12.576      -
558
regs_o_left_path_data[0]                                   Net          -        -       -          -           3
559
cpu0.alu.datamux_o_alu_in_left_path_data[0]                ORCALUT4     C        In      0.000      12.576      -
560
cpu0.alu.datamux_o_alu_in_left_path_data[0]                ORCALUT4     Z        Out     1.386      13.962      -
561
datamux_o_alu_in_left_path_data[0]                         Net          -        -       -          -           42
562
cpu0.alu.mul16_w_madd_0_cry_0_0                            CCU2D        C1       In      0.000      13.962      -
563
cpu0.alu.mul16_w_madd_0_cry_0_0                            CCU2D        COUT     Out     1.544      15.507      -
564
mul16_w_madd_0_cry_0                                       Net          -        -       -          -           1
565
cpu0.alu.mul16_w_madd_0_cry_1_0                            CCU2D        CIN      In      0.000      15.507      -
566
cpu0.alu.mul16_w_madd_0_cry_1_0                            CCU2D        S0       Out     1.621      17.128      -
567
mul16_w_madd_4                                             Net          -        -       -          -           2
568
cpu0.alu.mul16_w_madd_4_cry_0_0                            CCU2D        A1       In      0.000      17.128      -
569
cpu0.alu.mul16_w_madd_4_cry_0_0                            CCU2D        COUT     Out     1.544      18.672      -
570
mul16_w_madd_4_cry_0                                       Net          -        -       -          -           1
571
cpu0.alu.mul16_w_madd_4_cry_1_0                            CCU2D        CIN      In      0.000      18.672      -
572
cpu0.alu.mul16_w_madd_4_cry_1_0                            CCU2D        S1       Out     1.621      20.293      -
573
mul16_w_madd                                               Net          -        -       -          -           2
574
cpu0.alu.mul16_w_madd_cry_0_0                              CCU2D        A1       In      0.000      20.293      -
575
cpu0.alu.mul16_w_madd_cry_0_0                              CCU2D        COUT     Out     1.544      21.837      -
576
mul16_w_madd_cry_0                                         Net          -        -       -          -           1
577
cpu0.alu.mul16_w_madd_cry_1_0                              CCU2D        CIN      In      0.000      21.837      -
578
cpu0.alu.mul16_w_madd_cry_1_0                              CCU2D        COUT     Out     0.143      21.980      -
579
mul16_w_madd_cry_2                                         Net          -        -       -          -           1
580
cpu0.alu.mul16_w_madd_cry_3_0                              CCU2D        CIN      In      0.000      21.980      -
581
cpu0.alu.mul16_w_madd_cry_3_0                              CCU2D        S0       Out     1.621      23.601      -
582
mul16_w[7]                                                 Net          -        -       -          -           2
583
cpu0.alu.c16_12_bm                                         ORCALUT4     A        In      0.000      23.601      -
584
cpu0.alu.c16_12_bm                                         ORCALUT4     Z        Out     1.017      24.618      -
585
c16_12_bm                                                  Net          -        -       -          -           1
586
cpu0.alu.c16_12                                            PFUMX        ALUT     In      0.000      24.618      -
587
cpu0.alu.c16_12                                            PFUMX        Z        Out     0.214      24.832      -
588
N_1001                                                     Net          -        -       -          -           1
589
cpu0.alu.c16_19_am                                         ORCALUT4     B        In      0.000      24.832      -
590
cpu0.alu.c16_19_am                                         ORCALUT4     Z        Out     1.017      25.849      -
591
c16_19_am                                                  Net          -        -       -          -           1
592
cpu0.alu.c16_19                                            PFUMX        BLUT     In      0.000      25.849      -
593
cpu0.alu.c16_19                                            PFUMX        Z        Out     -0.033     25.816      -
594
c16                                                        Net          -        -       -          -           1
595
cpu0.alu.CCRo_7[0]                                         L6MUX21      D0       In      0.000      25.816      -
596
cpu0.alu.CCRo_7[0]                                         L6MUX21      Z        Out     0.732      26.548      -
597
CCRo_7[0]                                                  Net          -        -       -          -           1
598
cpu0.alu.CCRo[0]                                           ORCALUT4     A        In      0.000      26.548      -
599
cpu0.alu.CCRo[0]                                           ORCALUT4     Z        Out     0.449      26.997      -
600
alu_o_CCR[0]                                               Net          -        -       -          -           1
601
cpu0.regs.cff_6                                            ORCALUT4     A        In      0.000      26.997      -
602
cpu0.regs.cff_6                                            ORCALUT4     Z        Out     0.617      27.613      -
603
cff_6                                                      Net          -        -       -          -           1
604
cpu0.regs.cff                                              FD1P3AX      D        In      0.000      27.613      -
605
==========================================================================================================================
606
 
607
 
608
 
609
##### END OF TIMING REPORT #####]
610
 
611
---------------------------------------
612
Resource Usage Report
613
Part: lcmxo2_7000he-4
614
 
615
Register bits: 404 of 6864 (6%)
616
PIC Latch:       0
617
I/O cells:       49
618
Block Rams : 2 of 26 (7%)
619
 
620
 
621
Details:
622
CCU2D:          162
623
DP8KC:          2
624
FD1P3AX:        384
625
FD1P3DX:        6
626
FD1P3IX:        1
627
FD1P3JX:        4
628
FD1S3AX:        1
629
GSR:            1
630
IB:             1
631
INV:            4
632
L6MUX21:        12
633
OB:             48
634
OFS1P3DX:       8
635
ORCALUT4:       1771
636
PFUMX:          235
637
PUR:            1
638
VHI:            4
639
VLO:            10
640
true:           6
641
Mapper successful!
642
 
643
At Mapper Exit (Real Time elapsed 0h:00m:17s; CPU Time elapsed 0h:00m:17s; Memory used current: 43MB peak: 226MB)
644
 
645
Process took 0h:00m:17s realtime, 0h:00m:17s cputime
646
# Mon Dec 30 07:52:44 2013
647
 
648
###########################################################]
649
 
650
 
651
Synthesis exit by 0.
652
 
653
edif2ngd  -l "MachXO2" -d LCMXO2-7000HE -path "/home/pacito/02_Elektronik/020_V6809/6809/opencores/trunk/syn/lattice/P6809" -path "/home/pacito/02_Elektronik/020_V6809/6809/opencores/trunk/syn/lattice"  "/home/pacito/02_Elektronik/020_V6809/6809/opencores/trunk/syn/lattice/P6809/P6809_P6809.edi" "P6809_P6809.ngo"
654
edif2ngd:  version Diamond (64-bit) 2.2.0.101
655
 
656
Copyright (c) 1991-1994 by NeoCAD Inc. All rights reserved.
657
Copyright (c) 1995 AT&T Corp.   All rights reserved.
658
Copyright (c) 1995-2001 Lucent Technologies Inc.  All rights reserved.
659
Copyright (c) 2001 Agere Systems   All rights reserved.
660
Copyright (c) 2002-2013 Lattice Semiconductor Corporation,  All rights reserved.
661
WARNING - edif2ngd: Unsupported property is_pwr found - ignoring...
662
  On or above line 291 in file /home/pacito/02_Elektronik/020_V6809/6809/opencores/trunk/syn/lattice/P6809/P6809_P6809.edi
663
 
664
WARNING - edif2ngd: Unsupported property is_pwr found - ignoring...
665
  On or above line 299 in file /home/pacito/02_Elektronik/020_V6809/6809/opencores/trunk/syn/lattice/P6809/P6809_P6809.edi
666
 
667
WARNING - edif2ngd: Unsupported property orig_inst_of found - ignoring...
668
  On or above line 549 in file /home/pacito/02_Elektronik/020_V6809/6809/opencores/trunk/syn/lattice/P6809/P6809_P6809.edi
669
 
670
WARNING - edif2ngd: Unsupported property orig_inst_of found - ignoring...
671
  On or above line 2250 in file /home/pacito/02_Elektronik/020_V6809/6809/opencores/trunk/syn/lattice/P6809/P6809_P6809.edi
672
 
673
WARNING - edif2ngd: Unsupported property orig_inst_of found - ignoring...
674
  On or above line 3439 in file /home/pacito/02_Elektronik/020_V6809/6809/opencores/trunk/syn/lattice/P6809/P6809_P6809.edi
675
 
676
WARNING - edif2ngd: Unsupported property orig_inst_of found - ignoring...
677
  On or above line 4857 in file /home/pacito/02_Elektronik/020_V6809/6809/opencores/trunk/syn/lattice/P6809/P6809_P6809.edi
678
 
679
WARNING - edif2ngd: Unsupported property orig_inst_of found - ignoring...
680
  On or above line 6946 in file /home/pacito/02_Elektronik/020_V6809/6809/opencores/trunk/syn/lattice/P6809/P6809_P6809.edi
681
 
682
WARNING - edif2ngd: Unsupported property orig_inst_of found - ignoring...
683
  On or above line 15891 in file /home/pacito/02_Elektronik/020_V6809/6809/opencores/trunk/syn/lattice/P6809/P6809_P6809.edi
684
 
685
WARNING - edif2ngd: Unsupported property orig_inst_of found - ignoring...
686
  On or above line 28347 in file /home/pacito/02_Elektronik/020_V6809/6809/opencores/trunk/syn/lattice/P6809/P6809_P6809.edi
687
 
688
WARNING - edif2ngd: Unsupported property orig_inst_of found - ignoring...
689
  On or above line 28765 in file /home/pacito/02_Elektronik/020_V6809/6809/opencores/trunk/syn/lattice/P6809/P6809_P6809.edi
690
 
691
WARNING - edif2ngd: Unsupported property orig_inst_of found - ignoring...
692
  On or above line 33148 in file /home/pacito/02_Elektronik/020_V6809/6809/opencores/trunk/syn/lattice/P6809/P6809_P6809.edi
693
 
694
WARNING - edif2ngd: Unsupported property orig_inst_of found - ignoring...
695
  On or above line 33818 in file /home/pacito/02_Elektronik/020_V6809/6809/opencores/trunk/syn/lattice/P6809/P6809_P6809.edi
696
 
697
Writing the design to P6809_P6809.ngo...
698
 
699
 
700
ngdbuild  -a "MachXO2" -d LCMXO2-7000HE  -p "/usr/local/diamond/2.2_x64/ispfpga/xo2c00/data"  -p "/home/pacito/02_Elektronik/020_V6809/6809/opencores/trunk/syn/lattice/P6809" -p "/home/pacito/02_Elektronik/020_V6809/6809/opencores/trunk/syn/lattice"  "P6809_P6809.ngo" "P6809_P6809.ngd"
701
ngdbuild:  version Diamond (64-bit) 2.2.0.101
702
 
703
Copyright (c) 1991-1994 by NeoCAD Inc. All rights reserved.
704
Copyright (c) 1995 AT&T Corp.   All rights reserved.
705
Copyright (c) 1995-2001 Lucent Technologies Inc.  All rights reserved.
706
Copyright (c) 2001 Agere Systems   All rights reserved.
707
Copyright (c) 2002-2013 Lattice Semiconductor Corporation,  All rights reserved.
708
Reading 'P6809_P6809.ngo' ...
709
Loading NGL library '/usr/local/diamond/2.2_x64/ispfpga/xo2c00a/data/xo2alib.ngl'...
710
Loading NGL library '/usr/local/diamond/2.2_x64/ispfpga/xo2c00/data/xo2clib.ngl'...
711
Loading NGL library '/usr/local/diamond/2.2_x64/ispfpga/mg5g00/data/mg5glib.ngl'...
712
Loading NGL library '/usr/local/diamond/2.2_x64/ispfpga/or5g00/data/orc5glib.ngl'...
713
 
714
 
715
Running DRC...
716
 
717
WARNING - ngdbuild: logical net 'cpu0/regs/un1_ea_reg_s_15_0_COUT' has no load
718
WARNING - ngdbuild: logical net 'cpu0/regs/un1_ea_reg_s_15_0_S1' has no load
719
WARNING - ngdbuild: logical net 'cpu0/regs/un1_ea_reg_cry_0_0_S0' has no load
720
WARNING - ngdbuild: logical net 'cpu0/regs/un1_ea_reg_cry_0_0_S1' has no load
721
WARNING - ngdbuild: logical net 'cpu0/regs/un1_data_w_1_s_15_0_COUT' has no load
722
WARNING - ngdbuild: logical net 'cpu0/regs/un1_data_w_1_s_15_0_S1' has no load
723
WARNING - ngdbuild: logical net 'cpu0/regs/un1_data_w_1_cry_0_0_S0' has no load
724
WARNING - ngdbuild: logical net 'cpu0/regs/un1_data_w_1_cry_0_0_S1' has no load
725
WARNING - ngdbuild: logical net 'cpu0/regs/un1_SS_1_s_15_0_COUT' has no load
726
WARNING - ngdbuild: logical net 'cpu0/regs/un1_SS_1_s_15_0_S1' has no load
727
WARNING - ngdbuild: logical net 'cpu0/regs/un1_SS_1_cry_0_0_S0' has no load
728
WARNING - ngdbuild: logical net 'cpu0/regs/un1_SS_1_cry_0_0_S1' has no load
729
WARNING - ngdbuild: logical net 'cpu0/regs/eamem_addr_s_15_0_COUT' has no load
730
WARNING - ngdbuild: logical net 'cpu0/regs/eamem_addr_s_15_0_S1' has no load
731
WARNING - ngdbuild: logical net 'cpu0/regs/eamem_addr_cry_0_0_S0' has no load
732
WARNING - ngdbuild: logical net 'cpu0/regs/eamem_addr_cry_0_0_S1' has no load
733
WARNING - ngdbuild: logical net 'cpu0/regs/PC_cry_0_COUT[14]' has no load
734
WARNING - ngdbuild: logical net 'cpu0/regs/PC_lcry_0_S0' has no load
735
WARNING - ngdbuild: logical net 'cpu0/regs/PC_lcry_0_S1' has no load
736
WARNING - ngdbuild: logical net 'cpu0/alu/add8_w_cry_7_0_COUT' has no load
737
WARNING - ngdbuild: logical net 'cpu0/alu/add8_w_cry_7_0_S0' has no load
738
WARNING - ngdbuild: logical net 'cpu0/alu/add8_w_cry_5_0_S0' has no load
739
WARNING - ngdbuild: logical net 'cpu0/alu/add8_w_cry_5_0_S1' has no load
740
WARNING - ngdbuild: logical net 'cpu0/alu/add8_w_cry_3_0_S0' has no load
741
WARNING - ngdbuild: logical net 'cpu0/alu/add8_w_cry_3_0_S1' has no load
742
WARNING - ngdbuild: logical net 'cpu0/alu/add8_w_cry_1_0_S0' has no load
743
WARNING - ngdbuild: logical net 'cpu0/alu/add8_w_cry_1_0_S1' has no load
744
WARNING - ngdbuild: logical net 'cpu0/alu/add8_w_cry_0_0_S0' has no load
745
WARNING - ngdbuild: logical net 'cpu0/alu/add8_w_cry_0_0_S1' has no load
746
WARNING - ngdbuild: logical net 'cpu0/alu/add16_w_cry_15_0_COUT' has no load
747
WARNING - ngdbuild: logical net 'cpu0/alu/add16_w_cry_0_0_S0' has no load
748
WARNING - ngdbuild: logical net 'cpu0/alu/add16_w_cry_0_0_S1' has no load
749
WARNING - ngdbuild: logical net 'cpu0/alu/adc16_w_s_15_0_COUT' has no load
750
WARNING - ngdbuild: logical net 'cpu0/alu/adc16_w_s_15_0_S1' has no load
751
WARNING - ngdbuild: logical net 'cpu0/alu/adc16_w_cry_13_0_S0' has no load
752
WARNING - ngdbuild: logical net 'cpu0/alu/adc16_w_cry_13_0_S1' has no load
753
WARNING - ngdbuild: logical net 'cpu0/alu/adc16_w_cry_11_0_S0' has no load
754
WARNING - ngdbuild: logical net 'cpu0/alu/adc16_w_cry_11_0_S1' has no load
755
WARNING - ngdbuild: logical net 'cpu0/alu/adc16_w_cry_9_0_S0' has no load
756
WARNING - ngdbuild: logical net 'cpu0/alu/adc16_w_cry_9_0_S1' has no load
757
WARNING - ngdbuild: logical net 'cpu0/alu/adc16_w_cry_7_0_S1' has no load
758
WARNING - ngdbuild: logical net 'cpu0/alu/adc16_w_cry_5_0_S0' has no load
759
WARNING - ngdbuild: logical net 'cpu0/alu/adc16_w_cry_5_0_S1' has no load
760
WARNING - ngdbuild: logical net 'cpu0/alu/adc16_w_cry_3_0_S0' has no load
761
WARNING - ngdbuild: logical net 'cpu0/alu/adc16_w_cry_3_0_S1' has no load
762
WARNING - ngdbuild: logical net 'cpu0/alu/adc16_w_cry_1_0_S0' has no load
763
WARNING - ngdbuild: logical net 'cpu0/alu/adc16_w_cry_1_0_S1' has no load
764
WARNING - ngdbuild: logical net 'cpu0/alu/adc16_w_cry_0_0_S0' has no load
765
WARNING - ngdbuild: logical net 'cpu0/alu/adc16_w_cry_0_0_S1' has no load
766
WARNING - ngdbuild: logical net 'cpu0/alu/sub8_w_cry_7_0_COUT' has no load
767
WARNING - ngdbuild: logical net 'cpu0/alu/sub8_w_cry_7_0_S0' has no load
768
WARNING - ngdbuild: logical net 'cpu0/alu/sub8_w_cry_5_0_S0' has no load
769
WARNING - ngdbuild: logical net 'cpu0/alu/sub8_w_cry_5_0_S1' has no load
770
WARNING - ngdbuild: logical net 'cpu0/alu/sub8_w_cry_3_0_S0' has no load
771
WARNING - ngdbuild: logical net 'cpu0/alu/sub8_w_cry_3_0_S1' has no load
772
WARNING - ngdbuild: logical net 'cpu0/alu/sub8_w_cry_1_0_S0' has no load
773
WARNING - ngdbuild: logical net 'cpu0/alu/sub8_w_cry_1_0_S1' has no load
774
WARNING - ngdbuild: logical net 'cpu0/alu/sub8_w_cry_0_0_S0' has no load
775
WARNING - ngdbuild: logical net 'cpu0/alu/sub8_w_cry_0_0_S1' has no load
776
WARNING - ngdbuild: logical net 'cpu0/alu/sbc8_w_cry_7_0_COUT' has no load
777
WARNING - ngdbuild: logical net 'cpu0/alu/sbc8_w_cry_7_0_S0' has no load
778
WARNING - ngdbuild: logical net 'cpu0/alu/sbc8_w_cry_5_0_S0' has no load
779
WARNING - ngdbuild: logical net 'cpu0/alu/sbc8_w_cry_5_0_S1' has no load
780
WARNING - ngdbuild: logical net 'cpu0/alu/sbc8_w_cry_3_0_S0' has no load
781
WARNING - ngdbuild: logical net 'cpu0/alu/sbc8_w_cry_3_0_S1' has no load
782
WARNING - ngdbuild: logical net 'cpu0/alu/sbc8_w_cry_1_0_S0' has no load
783
WARNING - ngdbuild: logical net 'cpu0/alu/sbc8_w_cry_1_0_S1' has no load
784
WARNING - ngdbuild: logical net 'cpu0/alu/sbc8_w_cry_0_0_S0' has no load
785
WARNING - ngdbuild: logical net 'cpu0/alu/mul16_w_madd_s_11_0_COUT' has no load
786
WARNING - ngdbuild: logical net 'cpu0/alu/mul16_w_madd_s_11_0_S1' has no load
787
WARNING - ngdbuild: logical net 'cpu0/alu/mul16_w_madd_cry_0_0_S0' has no load
788
WARNING - ngdbuild: logical net 'cpu0/alu/mul16_w_madd_cry_0_0_S1' has no load
789
WARNING - ngdbuild: logical net 'cpu0/alu/neg16_w_s_15_0_COUT' has no load
790
WARNING - ngdbuild: logical net 'cpu0/alu/neg16_w_s_15_0_S1' has no load
791
WARNING - ngdbuild: logical net 'cpu0/alu/neg16_w_cry_0_0_S0' has no load
792
WARNING - ngdbuild: logical net 'cpu0/alu/neg16_w_cry_0_0_S1' has no load
793
WARNING - ngdbuild: logical net 'cpu0/alu/sub16_w_cry_15_0_COUT' has no load
794
WARNING - ngdbuild: logical net 'cpu0/alu/sub16_w_cry_0_0_S0' has no load
795
WARNING - ngdbuild: logical net 'cpu0/alu/sub16_w_cry_0_0_S1' has no load
796
WARNING - ngdbuild: logical net 'cpu0/alu/sbc16_w_cry_15_0_COUT' has no load
797
WARNING - ngdbuild: logical net 'cpu0/alu/sbc16_w_cry_0_0_S0' has no load
798
WARNING - ngdbuild: logical net 'cpu0/alu/mul16_w_madd_5_s_11_0_COUT' has no load
799
WARNING - ngdbuild: logical net 'cpu0/alu/mul16_w_madd_5_s_11_0_S1' has no load
800
WARNING - ngdbuild: logical net 'cpu0/alu/mul16_w_madd_5_cry_2_0_S0' has no load
801
WARNING - ngdbuild: logical net 'cpu0/alu/mul16_w_madd_5_cry_2_0_S1' has no load
802
WARNING - ngdbuild: logical net 'cpu0/alu/mul16_w_madd_1_cry_8_0_COUT' has no load
803
WARNING - ngdbuild: logical net 'cpu0/alu/mul16_w_madd_1_cry_1_0_S0' has no load
804
WARNING - ngdbuild: logical net 'cpu0/alu/mul16_w_madd_1_cry_1_0_S1' has no load
805
WARNING - ngdbuild: logical net 'cpu0/alu/mul16_w_madd_2_cry_8_0_COUT' has no load
806
WARNING - ngdbuild: logical net 'cpu0/alu/mul16_w_madd_2_cry_1_0_S0' has no load
807
WARNING - ngdbuild: logical net 'cpu0/alu/mul16_w_madd_2_cry_1_0_S1' has no load
808
WARNING - ngdbuild: logical net 'cpu0/alu/mul16_w_madd_3_cry_8_0_COUT' has no load
809
WARNING - ngdbuild: logical net 'cpu0/alu/mul16_w_madd_3_cry_1_0_S0' has no load
810
WARNING - ngdbuild: logical net 'cpu0/alu/mul16_w_madd_3_cry_1_0_S1' has no load
811
WARNING - ngdbuild: logical net 'cpu0/alu/mul16_w_madd_0_cry_7_0_COUT' has no load
812
WARNING - ngdbuild: logical net 'cpu0/alu/mul16_w_madd_0_cry_0_0_S0' has no load
813
WARNING - ngdbuild: logical net 'cpu0/alu/mul16_w_madd_0_cry_0_0_S1' has no load
814
WARNING - ngdbuild: logical net 'cpu0/alu/mul16_w_madd_4_cry_9_0_COUT' has no load
815
WARNING - ngdbuild: logical net 'cpu0/alu/mul16_w_madd_4_cry_0_0_S0' has no load
816
WARNING - ngdbuild: logical net 'cpu0/alu/mul16_w_madd_4_cry_0_0_S1' has no load
817
WARNING - ngdbuild: logical net 'cpu0/alu/k_new_pc_1_s_15_0_COUT' has no load
818
WARNING - ngdbuild: logical net 'cpu0/alu/k_new_pc_1_s_15_0_S1' has no load
819
WARNING - ngdbuild: logical net 'cpu0/alu/k_new_pc_1_cry_0_0_S0' has no load
820
WARNING - ngdbuild: logical net 'cpu0/alu/k_new_pc_1_cry_0_0_S1' has no load
821
WARNING - ngdbuild: logical net 'cpu0/un1_k_cpu_addr_1_s_15_0_COUT' has no load
822
WARNING - ngdbuild: logical net 'cpu0/un1_k_cpu_addr_1_s_15_0_S1' has no load
823
WARNING - ngdbuild: logical net 'cpu0/un1_k_cpu_addr_1_cry_0_0_S0' has no load
824
WARNING - ngdbuild: logical net 'cpu0/un1_k_cpu_addr_1_cry_0_0_S1' has no load
825
WARNING - ngdbuild: DRC complete with 108 warnings
826
 
827
Design Results:
828
   2654 blocks expanded
829
complete the first expansion
830
Writing 'P6809_P6809.ngd' ...
831
 
832
map -a "MachXO2" -p LCMXO2-7000HE -t TQFP144 -s 4 -oc Commercial   "P6809_P6809.ngd" -o "P6809_P6809_map.ncd" -pr "P6809_P6809.prf" -mp "P6809_P6809.mrp" "/home/pacito/02_Elektronik/020_V6809/6809/opencores/trunk/syn/lattice/P6809.lpf" -c 0
833
map:  version Diamond (64-bit) 2.2.0.101
834
 
835
Copyright (c) 1991-1994 by NeoCAD Inc. All rights reserved.
836
Copyright (c) 1995 AT&T Corp.   All rights reserved.
837
Copyright (c) 1995-2001 Lucent Technologies Inc.  All rights reserved.
838
Copyright (c) 2001 Agere Systems   All rights reserved.
839
Copyright (c) 2002-2013 Lattice Semiconductor Corporation,  All rights reserved.
840
   Process the file: P6809_P6809.ngd
841
   Picdevice="LCMXO2-7000HE"
842
 
843
   Pictype="TQFP144"
844
 
845
   Picspeed=4
846
 
847
   Remove unused logic
848
 
849
   Do not produce over sized NCDs.
850
 
851
Part used: LCMXO2-7000HETQFP144, Performance used: 4.
852
Loading device for application map from file 'xo2c7000.nph' in environment: /usr/local/diamond/2.2_x64/ispfpga.
853
Package Status:                     Final          Version 1.36
854
 
855
Running general design DRC...
856
Removing unused logic...
857
Optimizing...
858
7 CCU2 constant inputs absorbed.
859
WARNING - map: Using local reset signal 'cpu0.cpu_reset_i_3_i' to infer global GSR net.
860
WARNING - map: The reset of EBR 'bios/bios2k_0_1_0' cannot be controlled. The local reset is not connected to any control signal and set to GND. The global reset is disabled via GSR property. To control the EBR reset, either connect the local reset to a control signal or force the GSR property to be enabled.
861
WARNING - map: The reset of EBR 'bios/bios2k_0_0_1' cannot be controlled. The local reset is not connected to any control signal and set to GND. The global reset is disabled via GSR property. To control the EBR reset, either connect the local reset to a control signal or force the GSR property to be enabled.
862
 
863
 
864
 
865
Design Summary:
866
   Number of registers:    404
867
      PFU registers:    396
868
      PIO registers:    8
869
   Number of SLICEs:          1051 out of  3432 (31%)
870
      SLICEs(logic/ROM):       858 out of   858 (100%)
871
      SLICEs(logic/ROM/RAM):   193 out of  2574 (7%)
872
          As RAM:            0 out of  2574 (0%)
873
          As Logic/ROM:    193 out of  2574 (7%)
874
   Number of logic LUT4s:     1775
875
   Number of distributed RAM:   0 (0 LUT4s)
876
   Number of ripple logic:    162 (324 LUT4s)
877
   Number of shift registers:   0
878
   Total number of LUT4s:     2099
879
   Number of PIO sites used: 49 + 4(JTAG) out of 115 (46%)
880
   Number of block RAMs:  2 out of 26 (8%)
881
   Number of GSRs:  1 out of 1 (100%)
882
   EFB used :       No
883
   JTAG used :      No
884
   Readback used :  No
885
   Oscillator used :  No
886
   Startup used :   No
887
   POR :            On
888
   Bandgap :        On
889
   Number of Power Controller:  0 out of 1 (0%)
890
   Number of Dynamic Bank Controller (BCINRD):  0 out of 6 (0%)
891
   Number of Dynamic Bank Controller (BCLVDSO):  0 out of 1 (0%)
892
   Number of DCCA:  0 out of 8 (0%)
893
   Number of DCMA:  0 out of 2 (0%)
894
   Number of PLLs:  0 out of 2 (0%)
895
   Number of DQSDLLs:  0 out of 2 (0%)
896
   Number of CLKDIVC:  0 out of 4 (0%)
897
   Number of ECLKSYNCA:  0 out of 4 (0%)
898
   Number of ECLKBRIDGECS:  0 out of 2 (0%)
899
   Notes:-
900
      1. Total number of LUT4s = (Number of logic LUT4s) + 2*(Number of distributed RAMs) + 2*(Number of ripple logic)
901
      2. Number of logic LUT4s does not include count of distributed RAM and ripple logic.
902
   Number of clocks:  1
903
     Net cpu_clkgen: 251 loads, 251 rising, 0 falling (Driver: PIO clk40_i )
904
   Number of Clock Enables:  26
905
     Net cpu_clk: 84 loads, 84 LSLICEs
906
     Net leds_r_cnv[0]: 8 loads, 0 LSLICEs
907
     Net un1_cen_o_0: 4 loads, 0 LSLICEs
908
     Net cpu0/un1_state_53_0_a2_RNIA03MC: 5 loads, 5 LSLICEs
909
     Net cpu0/un1_state_116_i_a4_RNIUVE1B: 3 loads, 3 LSLICEs
910
     Net cpu0/state_cnst_0_a2_8_RNINHMI3[1]: 1 loads, 1 LSLICEs
911
     Net cpu0/un1_state_32_RNIMUU2H: 4 loads, 4 LSLICEs
912
     Net cpu0/k_ealo_cnv_0[0]: 9 loads, 9 LSLICEs
913
     Net cpu0/un1_state_86_1_RNIK6NAG: 2 loads, 2 LSLICEs
914
     Net cpu0/un1_state_23_1_RNIUF382: 4 loads, 4 LSLICEs
915
     Net cpu0/un1_state_31_RNINL5R: 4 loads, 4 LSLICEs
916
     Net cpu0/un1_state_28_2_RNIGSC31: 5 loads, 5 LSLICEs
917
     Net cpu0/un3_cpu_reset_RNIF4SQ3: 5 loads, 5 LSLICEs
918
     Net cpu0/k_new_pc29_RNIT8401: 4 loads, 4 LSLICEs
919
     Net cpu0/un3_cpu_reset_RNIB1387: 4 loads, 4 LSLICEs
920
     Net cpu0/un1_k_opcode_3_RNIA4FBB: 8 loads, 8 LSLICEs
921
     Net cpu0/un1_state_74_RNIGHLC3: 4 loads, 4 LSLICEs
922
     Net cpu0/regs/datamux_o_dest_reg_addr_RNITQPK1[0]: 9 loads, 9 LSLICEs
923
     Net cpu0/datamux_o_dest_reg_addr_RNIEAM92[3]: 27 loads, 27 LSLICEs
924
     Net cpu0/regs/IY_1_sqmuxa_1_RNIRVQM1: 17 loads, 17 LSLICEs
925
     Net cpu0/regs/IX_0_sqmuxa_1_i_a3_0_RNI5MLM1: 17 loads, 17 LSLICEs
926
     Net cpu0/regs/datamux_o_dest_reg_addr_RNI537B1_0[3]: 4 loads, 4 LSLICEs
927
     Net cpu0/regs/datamux_o_dest_reg_addr_RNI537B1[3]: 6 loads, 6 LSLICEs
928
     Net cpu0/k_new_pc28_RNIL0CFA: 4 loads, 4 LSLICEs
929
     Net cpu0/k_ofshi_1_sqmuxa_RNIAOQN: 4 loads, 4 LSLICEs
930
     Net cpu0/k_memhi_0_sqmuxa_RNILK7P1: 4 loads, 4 LSLICEs
931
   Number of local set/reset loads for net cpu0.cpu_reset_i_3_i merged into GSR:  6
932
   Number of LSRs:  1
933
     Net cpu0/state_RNIUN03D[5]: 3 loads, 3 LSLICEs
934
   Number of nets driven by tri-state buffers:  0
935
   Top 10 highest fanout non-clock nets:
936
     Net cpu0/dec_o_alu_opcode[0]: 210 loads
937
     Net cpu0/dec_o_alu_opcode[2]: 161 loads
938
     Net cpu0/dec_o_alu_opcode[3]: 130 loads
939
     Net cpu_clk: 103 loads
940
     Net state_o_c[1]: 83 loads
941
     Net cpu0/dec_o_alu_opcode[4]: 80 loads
942
     Net state_o_c[5]: 76 loads
943
     Net state_o_c[0]: 73 loads
944
     Net state_o_c[3]: 64 loads
945
     Net state_o_c[4]: 59 loads
946
 
947
   Number of warnings:  3
948
   Number of errors:    0
949
 
950
 
951
Total CPU Time: 0 secs
952
Total REAL Time: 0 secs
953
Peak Memory Usage: 192 MB
954
 
955
Dumping design to file P6809_P6809_map.ncd.
956
 
957
trce -f "P6809_P6809.mt" -o "P6809_P6809.tw1" "P6809_P6809_map.ncd" "P6809_P6809.prf"
958
trce:  version Diamond (64-bit) 2.2.0.101
959
 
960
Copyright (c) 1991-1994 by NeoCAD Inc. All rights reserved.
961
Copyright (c) 1995 AT&T Corp.   All rights reserved.
962
Copyright (c) 1995-2001 Lucent Technologies Inc.  All rights reserved.
963
Copyright (c) 2001 Agere Systems   All rights reserved.
964
Copyright (c) 2002-2013 Lattice Semiconductor Corporation,  All rights reserved.
965
 
966
Loading design for application trce from file P6809_P6809_map.ncd.
967
Design name: CC3_top
968
NCD version: 3.2
969
Vendor:      LATTICE
970
Device:      LCMXO2-7000HE
971
Package:     TQFP144
972
Performance: 4
973
Loading device for application trce from file 'xo2c7000.nph' in environment: /usr/local/diamond/2.2_x64/ispfpga.
974
Package Status:                     Final          Version 1.36
975
Performance Hardware Data Status:   Final)         Version 23.4
976
Setup and Hold Report
977
 
978
--------------------------------------------------------------------------------
979
Lattice TRACE Report - Setup, Version Diamond (64-bit) 2.2.0.101
980
Mon Dec 30 07:52:48 2013
981
 
982
Copyright (c) 1991-1994 by NeoCAD Inc. All rights reserved.
983
Copyright (c) 1995 AT&T Corp.   All rights reserved.
984
Copyright (c) 1995-2001 Lucent Technologies Inc.  All rights reserved.
985
Copyright (c) 2001 Agere Systems   All rights reserved.
986
Copyright (c) 2002-2013 Lattice Semiconductor Corporation,  All rights reserved.
987
 
988
Report Information
989
------------------
990
Command line:    trce -v 1 -gt -mapchkpnt 0 -sethld -o P6809_P6809.tw1 P6809_P6809_map.ncd P6809_P6809.prf
991
Design file:     P6809_P6809_map.ncd
992
Preference file: P6809_P6809.prf
993
Device,speed:    LCMXO2-7000HE,4
994
Report level:    verbose report, limited to 1 item per preference
995
--------------------------------------------------------------------------------
996
 
997
BLOCK ASYNCPATHS
998
BLOCK RESETPATHS
999
--------------------------------------------------------------------------------
1000
 
1001
 
1002
 
1003
Timing summary (Setup):
1004
---------------
1005
 
1006
Timing errors: 4096  Score: 36144319
1007
Cumulative negative slack: 36144319
1008
 
1009
Constraints cover 34676514 paths, 1 nets, and 7843 connections (96.2% coverage)
1010
 
1011
--------------------------------------------------------------------------------
1012
Lattice TRACE Report - Hold, Version Diamond (64-bit) 2.2.0.101
1013
Mon Dec 30 07:52:48 2013
1014
 
1015
Copyright (c) 1991-1994 by NeoCAD Inc. All rights reserved.
1016
Copyright (c) 1995 AT&T Corp.   All rights reserved.
1017
Copyright (c) 1995-2001 Lucent Technologies Inc.  All rights reserved.
1018
Copyright (c) 2001 Agere Systems   All rights reserved.
1019
Copyright (c) 2002-2013 Lattice Semiconductor Corporation,  All rights reserved.
1020
 
1021
Report Information
1022
------------------
1023
Command line:    trce -v 1 -gt -mapchkpnt 0 -sethld -o P6809_P6809.tw1 P6809_P6809_map.ncd P6809_P6809.prf
1024
Design file:     P6809_P6809_map.ncd
1025
Preference file: P6809_P6809.prf
1026
Device,speed:    LCMXO2-7000HE,M
1027
Report level:    verbose report, limited to 1 item per preference
1028
--------------------------------------------------------------------------------
1029
 
1030
BLOCK ASYNCPATHS
1031
BLOCK RESETPATHS
1032
--------------------------------------------------------------------------------
1033
 
1034
 
1035
 
1036
Timing summary (Hold):
1037
---------------
1038
 
1039
Timing errors: 0  Score: 0
1040
Cumulative negative slack: 0
1041
 
1042
Constraints cover 34676514 paths, 1 nets, and 8082 connections (99.1% coverage)
1043
 
1044
 
1045
 
1046
Timing summary (Setup and Hold):
1047
---------------
1048
 
1049
Timing errors: 4096 (setup), 0 (hold)
1050
Score: 36144319 (setup), 0 (hold)
1051
Cumulative negative slack: 36144319 (36144319+0)
1052
--------------------------------------------------------------------------------
1053
 
1054
--------------------------------------------------------------------------------
1055
 
1056
Total time: 0 secs
1057
 
1058
mpartrce -p "P6809_P6809.p2t" -f "P6809_P6809.p3t" -tf "P6809_P6809.pt" "P6809_P6809_map.ncd" "P6809_P6809.ncd"
1059
 
1060
---- MParTrce Tool ----
1061
Removing old design directory at request of -rem command line option to this program.
1062
Running par. Please wait . . .
1063
 
1064
Lattice Place and Route Report for Design "P6809_P6809_map.ncd"
1065
Mon Dec 30 07:52:49 2013
1066
 
1067
PAR: Place And Route Diamond (64-bit) 2.2.0.101.
1068
Command Line: par -w -l 5 -i 6 -t 1 -c 0 -e 0 -exp parUseNBR=1:parCDP=0:parCDR=0:parPathBased=OFF P6809_P6809_map.ncd P6809_P6809.dir/5_1.ncd P6809_P6809.prf
1069
Preference file: P6809_P6809.prf.
1070
Placement level-cost: 5-1.
1071
Routing Iterations: 6
1072
 
1073
Loading design for application par from file P6809_P6809_map.ncd.
1074
Design name: CC3_top
1075
NCD version: 3.2
1076
Vendor:      LATTICE
1077
Device:      LCMXO2-7000HE
1078
Package:     TQFP144
1079
Performance: 4
1080
Loading device for application par from file 'xo2c7000.nph' in environment: /usr/local/diamond/2.2_x64/ispfpga.
1081
Package Status:                     Final          Version 1.36
1082
Performance Hardware Data Status:   Final)         Version 23.4
1083
License checked out.
1084
 
1085
 
1086
Ignore Preference Error(s):  True
1087
Device utilization summary:
1088
 
1089
   PIO (prelim)   49+4(JTAG)/336     14% used
1090
                  49+4(JTAG)/115     42% bonded
1091
   IOLOGIC            8/336           2% used
1092
 
1093
   SLICE           1051/3432         30% used
1094
 
1095
   GSR                1/1           100% used
1096
   EBR                2/26            7% used
1097
 
1098
 
1099
INFO: Design contains EBR with ASYNC Reset Mode that has a limitation: The use of the EBR block asynchronous reset requires that certain timing be met between the clock and the reset within the memory block. See the device specific datasheet for additional details.
1100
INFO: Design contains pre-loadable EBR during configuration that has a requirement: Since the GSR is disabled for the EBR, make sure write enable and chip enable are inactive during wake-up, so that the pre-loaded initialization values will not be corrupted during wake-up state.
1101
Number of Signals: 2343
1102
Number of Connections: 8157
1103
 
1104
Pin Constraint Summary:
1105
   49 out of 49 pins locked (100% locked).
1106
 
1107
The following 1 signal is selected to use the primary clock routing resources:
1108
    cpu_clkgen (driver: clk40_i, clk load #: 251)
1109
 
1110
 
1111
The following 4 signals are selected to use the secondary clock routing resources:
1112
    cpu_clk (driver: SLICE_379, clk load #: 0, sr load #: 0, ce load #: 84)
1113
    cpu0/datamux_o_dest_reg_addr_RNIEAM92[3] (driver: cpu0/SLICE_1133, clk load #: 0, sr load #: 0, ce load #: 27)
1114
    cpu0/regs/IY_1_sqmuxa_1_RNIRVQM1 (driver: cpu0/regs/SLICE_296, clk load #: 0, sr load #: 0, ce load #: 17)
1115
    cpu0/regs/IX_0_sqmuxa_1_i_a3_0_RNI5MLM1 (driver: cpu0/regs/SLICE_295, clk load #: 0, sr load #: 0, ce load #: 17)
1116
 
1117
Signal cpu0.cpu_reset_i_3_i is selected as Global Set/Reset.
1118
Starting Placer Phase 0.
1119
...........
1120
Finished Placer Phase 0.  REAL time: 4 secs
1121
 
1122
Starting Placer Phase 1.
1123
....................
1124
Placer score = 980525.
1125
Finished Placer Phase 1.  REAL time: 10 secs
1126
 
1127
Starting Placer Phase 2.
1128
.
1129
Placer score =  967607
1130
Finished Placer Phase 2.  REAL time: 10 secs
1131
 
1132
 
1133
------------------ Clock Report ------------------
1134
 
1135
Global Clock Resources:
1136
  CLK_PIN    : 1 out of 8 (12%)
1137
  PLL        : 0 out of 2 (0%)
1138
  DCM        : 0 out of 2 (0%)
1139
  DCC        : 0 out of 8 (0%)
1140
 
1141
Quadrants All (TL, TR, BL, BR) - Global Clocks:
1142
  PRIMARY "cpu_clkgen" from comp "clk40_i" on CLK_PIN site "27 (PL22A)", clk load = 251
1143
  SECONDARY "cpu_clk" from Q0 on comp "SLICE_379" on site "R21C18B", clk load = 0, ce load = 84, sr load = 0
1144
  SECONDARY "cpu0/datamux_o_dest_reg_addr_RNIEAM92[3]" from F1 on comp "cpu0/SLICE_1133" on site "R21C20B", clk load = 0, ce load = 27, sr load = 0
1145
  SECONDARY "cpu0/regs/IY_1_sqmuxa_1_RNIRVQM1" from F1 on comp "cpu0/regs/SLICE_296" on site "R21C20D", clk load = 0, ce load = 17, sr load = 0
1146
  SECONDARY "cpu0/regs/IX_0_sqmuxa_1_i_a3_0_RNI5MLM1" from F1 on comp "cpu0/regs/SLICE_295" on site "R15C20C", clk load = 0, ce load = 17, sr load = 0
1147
 
1148
  PRIMARY  : 1 out of 8 (12%)
1149
  SECONDARY: 4 out of 8 (50%)
1150
 
1151
Edge Clocks:
1152
  No edge clock selected.
1153
 
1154
--------------- End of Clock Report ---------------
1155
 
1156
 
1157
I/O Usage Summary (final):
1158
   49 out of 336 (14.6%) PIO sites used.
1159
   49 out of 115 (42.6%) bonded PIO sites used.
1160
   Number of PIO comps: 49; differential: 0
1161
   Number of Vref pins used: 0
1162
 
1163
I/O Bank Usage Summary:
1164
+----------+----------------+------------+-----------+
1165
| I/O Bank | Usage          | Bank Vccio | Bank Vref |
1166
+----------+----------------+------------+-----------+
1167
| 0        | 12 / 28 ( 42%) | 2.5V       | -         |
1168
| 1        | 13 / 29 ( 44%) | 2.5V       | -         |
1169
| 2        | 23 / 29 ( 79%) | 2.5V       | -         |
1170
| 3        | 1 / 9 ( 11%)   | 2.5V       | -         |
1171
| 4        | 0 / 10 (  0%)  | -          | -         |
1172
| 5        | 0 / 10 (  0%)  | -          | -         |
1173
+----------+----------------+------------+-----------+
1174
 
1175
Total placer CPU time: 10 secs
1176
 
1177
Dumping design to file P6809_P6809.dir/5_1.ncd.
1178
 
1179
 
1180
Starting router resource preassignment
1181
 
1182
Completed router resource preassignment. Real time: 14 secs
1183
 
1184
Start NBR router at Mon Dec 30 07:53:03 CET 2013
1185
 
1186
*****************************************************************
1187
Info: NBR allows conflicts(one node used by more than one signal)
1188
      in the earlier iterations. In each iteration, it tries to
1189
      solve the conflicts while keeping the critical connections
1190
      routed as short as possible. The routing process is said to
1191
      be completed when no conflicts exist and all connections
1192
      are routed.
1193
Note: NBR uses a different method to calculate timing slacks. The
1194
      worst slack and total negative slack may not be the same as
1195
      that in TRCE report. You should always run TRCE to verify
1196
      your design. Thanks.
1197
*****************************************************************
1198
 
1199
Start NBR special constraint process at Mon Dec 30 07:53:03 CET 2013
1200
 
1201
Start NBR section for initial routing
1202
Level 1, iteration 1
1203
117(0.03%) conflicts; 7011(85.95%) untouched conns; 4287691 (nbr) score;
1204
Estimated worst slack/total negative slack: -5.629ns/-4287.692ns; real time: 16 secs
1205
Level 2, iteration 1
1206
237(0.06%) conflicts; 5628(69.00%) untouched conns; 3532393 (nbr) score;
1207
Estimated worst slack/total negative slack: -5.301ns/-3532.394ns; real time: 17 secs
1208
Level 3, iteration 1
1209
146(0.04%) conflicts; 4310(52.84%) untouched conns; 3735668 (nbr) score;
1210
Estimated worst slack/total negative slack: -5.321ns/-3735.669ns; real time: 18 secs
1211
Level 4, iteration 1
1212
287(0.08%) conflicts; 0(0.00%) untouched conn; 3879739 (nbr) score;
1213
Estimated worst slack/total negative slack: -5.621ns/-3879.740ns; real time: 19 secs
1214
 
1215
Info: Initial congestion level at 75% usage is 0
1216
Info: Initial congestion area  at 75% usage is 11 (1.10%)
1217
 
1218
Start NBR section for normal routing
1219
Level 4, iteration 1
1220
242(0.06%) conflicts; 0(0.00%) untouched conn; 3597572 (nbr) score;
1221
Estimated worst slack/total negative slack: -5.240ns/-3597.572ns; real time: 20 secs
1222
Level 4, iteration 2
1223
179(0.05%) conflicts; 0(0.00%) untouched conn; 3759749 (nbr) score;
1224
Estimated worst slack/total negative slack: -5.270ns/-3759.750ns; real time: 21 secs
1225
Level 4, iteration 3
1226
133(0.04%) conflicts; 0(0.00%) untouched conn; 3692808 (nbr) score;
1227
Estimated worst slack/total negative slack: -5.281ns/-3692.809ns; real time: 21 secs
1228
Level 4, iteration 4
1229
114(0.03%) conflicts; 0(0.00%) untouched conn; 3692808 (nbr) score;
1230
Estimated worst slack/total negative slack: -5.281ns/-3692.809ns; real time: 21 secs
1231
Level 4, iteration 5
1232
110(0.03%) conflicts; 0(0.00%) untouched conn; 3855675 (nbr) score;
1233
Estimated worst slack/total negative slack: -5.554ns/-3855.675ns; real time: 22 secs
1234
Level 4, iteration 6
1235
84(0.02%) conflicts; 0(0.00%) untouched conn; 3855675 (nbr) score;
1236
Estimated worst slack/total negative slack: -5.554ns/-3855.675ns; real time: 22 secs
1237
Level 4, iteration 7
1238
59(0.02%) conflicts; 0(0.00%) untouched conn; 4176939 (nbr) score;
1239
Estimated worst slack/total negative slack: -5.767ns/-4176.940ns; real time: 23 secs
1240
Level 4, iteration 8
1241
41(0.01%) conflicts; 0(0.00%) untouched conn; 4176939 (nbr) score;
1242
Estimated worst slack/total negative slack: -5.767ns/-4176.940ns; real time: 23 secs
1243
Level 4, iteration 9
1244
43(0.01%) conflicts; 0(0.00%) untouched conn; 4363230 (nbr) score;
1245
Estimated worst slack/total negative slack: -5.818ns/-4363.230ns; real time: 23 secs
1246
Level 4, iteration 10
1247
36(0.01%) conflicts; 0(0.00%) untouched conn; 4363230 (nbr) score;
1248
Estimated worst slack/total negative slack: -5.818ns/-4363.230ns; real time: 23 secs
1249
Level 4, iteration 11
1250
33(0.01%) conflicts; 0(0.00%) untouched conn; 4394219 (nbr) score;
1251
Estimated worst slack/total negative slack: -5.818ns/-4394.220ns; real time: 23 secs
1252
Level 4, iteration 12
1253
24(0.01%) conflicts; 0(0.00%) untouched conn; 4394219 (nbr) score;
1254
Estimated worst slack/total negative slack: -5.818ns/-4394.220ns; real time: 24 secs
1255
Level 4, iteration 13
1256
17(0.00%) conflicts; 0(0.00%) untouched conn; 4380217 (nbr) score;
1257
Estimated worst slack/total negative slack: -5.818ns/-4380.217ns; real time: 24 secs
1258
Level 4, iteration 14
1259
15(0.00%) conflicts; 0(0.00%) untouched conn; 4380217 (nbr) score;
1260
Estimated worst slack/total negative slack: -5.818ns/-4380.217ns; real time: 24 secs
1261
Level 4, iteration 15
1262
10(0.00%) conflicts; 0(0.00%) untouched conn; 4380470 (nbr) score;
1263
Estimated worst slack/total negative slack: -5.818ns/-4380.470ns; real time: 24 secs
1264
Level 4, iteration 16
1265
9(0.00%) conflicts; 0(0.00%) untouched conn; 4380470 (nbr) score;
1266
Estimated worst slack/total negative slack: -5.818ns/-4380.470ns; real time: 24 secs
1267
Level 4, iteration 17
1268
4(0.00%) conflicts; 0(0.00%) untouched conn; 4424195 (nbr) score;
1269
Estimated worst slack/total negative slack: -5.870ns/-4424.195ns; real time: 24 secs
1270
Level 4, iteration 18
1271
4(0.00%) conflicts; 0(0.00%) untouched conn; 4424195 (nbr) score;
1272
Estimated worst slack/total negative slack: -5.870ns/-4424.195ns; real time: 24 secs
1273
Level 4, iteration 19
1274
2(0.00%) conflicts; 0(0.00%) untouched conn; 4486427 (nbr) score;
1275
Estimated worst slack/total negative slack: -5.870ns/-4486.428ns; real time: 24 secs
1276
Level 4, iteration 20
1277
1(0.00%) conflict; 0(0.00%) untouched conn; 4486427 (nbr) score;
1278
Estimated worst slack/total negative slack: -5.870ns/-4486.428ns; real time: 24 secs
1279
Level 4, iteration 21
1280
0(0.00%) conflict; 0(0.00%) untouched conn; 4487838 (nbr) score;
1281
Estimated worst slack/total negative slack: -5.870ns/-4487.839ns; real time: 24 secs
1282
 
1283
Start NBR section for performance tunning (iteration 1)
1284
Level 4, iteration 1
1285
6(0.00%) conflicts; 0(0.00%) untouched conn; 4384136 (nbr) score;
1286
Estimated worst slack/total negative slack: -5.768ns/-4384.137ns; real time: 25 secs
1287
Level 4, iteration 2
1288
2(0.00%) conflicts; 0(0.00%) untouched conn; 5053902 (nbr) score;
1289
Estimated worst slack/total negative slack: -6.453ns/-5053.902ns; real time: 25 secs
1290
 
1291
Start NBR section for re-routing
1292
Level 4, iteration 1
1293
0(0.00%) conflict; 0(0.00%) untouched conn; 4487354 (nbr) score;
1294
Estimated worst slack/total negative slack: -5.870ns/-4487.355ns; real time: 25 secs
1295
 
1296
Start NBR section for post-routing
1297
 
1298
End NBR router with 0 unrouted connection
1299
 
1300
NBR Summary
1301
-----------
1302
  Number of unrouted connections : 0 (0.00%)
1303
  Number of connections with timing violations : 1608 (19.71%)
1304
  Estimated worst slack : -5.870ns
1305
  Timing score : 19515387
1306
-----------
1307
Notes: The timing info is calculated for SETUP only and all PAR_ADJs are ignored.
1308
 
1309
 
1310
 
1311
------------------------------------------------------------------------------------------------------------------------------------
1312
WARNING - par: Hold timing correction is skipped because the worst (setup) slack(-5.870ns) is worse than the default value(0.000ns).
1313
------------------------------------------------------------------------------------------------------------------------------------
1314
 
1315
Total CPU time 26 secs
1316
Total REAL time: 26 secs
1317
Completely routed.
1318
End of route.  8157 routed (100.00%); 0 unrouted.
1319
Checking DRC ...
1320
No errors found.
1321
 
1322
Hold time timing score: 0, hold timing errors: 0
1323
 
1324
Timing score: 19515387
1325
 
1326
Dumping design to file P6809_P6809.dir/5_1.ncd.
1327
 
1328
 
1329
PAR_SUMMARY::Run status = completed
1330
PAR_SUMMARY::Number of unrouted conns = 0
1331
PAR_SUMMARY::Worst  slack> = -5.870
1332
PAR_SUMMARY::Timing score> = 19515.387
1333
PAR_SUMMARY::Worst  slack> = 
1334
PAR_SUMMARY::Timing score> = 
1335
 
1336
Total CPU  time to completion: 27 secs
1337
Total REAL time to completion: 27 secs
1338
 
1339
par done!
1340
 
1341
Copyright (c) 1991-1994 by NeoCAD Inc. All rights reserved.
1342
Copyright (c) 1995 AT&T Corp.   All rights reserved.
1343
Copyright (c) 1995-2001 Lucent Technologies Inc.  All rights reserved.
1344
Copyright (c) 2001 Agere Systems   All rights reserved.
1345
Copyright (c) 2002-2013 Lattice Semiconductor Corporation,  All rights reserved.
1346
Exiting par with exit code 0
1347
Exiting mpartrce with exit code 0
1348
 
1349
trce -f "P6809_P6809.pt" -o "P6809_P6809.twr" "P6809_P6809.ncd" "P6809_P6809.prf"
1350
trce:  version Diamond (64-bit) 2.2.0.101
1351
 
1352
Copyright (c) 1991-1994 by NeoCAD Inc. All rights reserved.
1353
Copyright (c) 1995 AT&T Corp.   All rights reserved.
1354
Copyright (c) 1995-2001 Lucent Technologies Inc.  All rights reserved.
1355
Copyright (c) 2001 Agere Systems   All rights reserved.
1356
Copyright (c) 2002-2013 Lattice Semiconductor Corporation,  All rights reserved.
1357
 
1358
Loading design for application trce from file P6809_P6809.ncd.
1359
Design name: CC3_top
1360
NCD version: 3.2
1361
Vendor:      LATTICE
1362
Device:      LCMXO2-7000HE
1363
Package:     TQFP144
1364
Performance: 4
1365
Loading device for application trce from file 'xo2c7000.nph' in environment: /usr/local/diamond/2.2_x64/ispfpga.
1366
Package Status:                     Final          Version 1.36
1367
Performance Hardware Data Status:   Final)         Version 23.4
1368
Setup and Hold Report
1369
 
1370
--------------------------------------------------------------------------------
1371
Lattice TRACE Report - Setup, Version Diamond (64-bit) 2.2.0.101
1372
Mon Dec 30 07:53:19 2013
1373
 
1374
Copyright (c) 1991-1994 by NeoCAD Inc. All rights reserved.
1375
Copyright (c) 1995 AT&T Corp.   All rights reserved.
1376
Copyright (c) 1995-2001 Lucent Technologies Inc.  All rights reserved.
1377
Copyright (c) 2001 Agere Systems   All rights reserved.
1378
Copyright (c) 2002-2013 Lattice Semiconductor Corporation,  All rights reserved.
1379
 
1380
Report Information
1381
------------------
1382
Command line:    trce -v 10 -gt -sethld -sp 4 -sphld m -o P6809_P6809.twr P6809_P6809.ncd P6809_P6809.prf
1383
Design file:     P6809_P6809.ncd
1384
Preference file: P6809_P6809.prf
1385
Device,speed:    LCMXO2-7000HE,4
1386
Report level:    verbose report, limited to 10 items per preference
1387
--------------------------------------------------------------------------------
1388
 
1389
BLOCK ASYNCPATHS
1390
BLOCK RESETPATHS
1391
--------------------------------------------------------------------------------
1392
 
1393
 
1394
 
1395
Timing summary (Setup):
1396
---------------
1397
 
1398
Timing errors: 4096  Score: 19515387
1399
Cumulative negative slack: 19515387
1400
 
1401
Constraints cover 34676514 paths, 1 nets, and 8082 connections (99.1% coverage)
1402
 
1403
--------------------------------------------------------------------------------
1404
Lattice TRACE Report - Hold, Version Diamond (64-bit) 2.2.0.101
1405
Mon Dec 30 07:53:19 2013
1406
 
1407
Copyright (c) 1991-1994 by NeoCAD Inc. All rights reserved.
1408
Copyright (c) 1995 AT&T Corp.   All rights reserved.
1409
Copyright (c) 1995-2001 Lucent Technologies Inc.  All rights reserved.
1410
Copyright (c) 2001 Agere Systems   All rights reserved.
1411
Copyright (c) 2002-2013 Lattice Semiconductor Corporation,  All rights reserved.
1412
 
1413
Report Information
1414
------------------
1415
Command line:    trce -v 10 -gt -sethld -sp 4 -sphld m -o P6809_P6809.twr P6809_P6809.ncd P6809_P6809.prf
1416
Design file:     P6809_P6809.ncd
1417
Preference file: P6809_P6809.prf
1418
Device,speed:    LCMXO2-7000HE,m
1419
Report level:    verbose report, limited to 10 items per preference
1420
--------------------------------------------------------------------------------
1421
 
1422
BLOCK ASYNCPATHS
1423
BLOCK RESETPATHS
1424
--------------------------------------------------------------------------------
1425
 
1426
 
1427
 
1428
Timing summary (Hold):
1429
---------------
1430
 
1431
Timing errors: 0  Score: 0
1432
Cumulative negative slack: 0
1433
 
1434
Constraints cover 34676514 paths, 1 nets, and 8082 connections (99.1% coverage)
1435
 
1436
 
1437
 
1438
Timing summary (Setup and Hold):
1439
---------------
1440
 
1441
Timing errors: 4096 (setup), 0 (hold)
1442
Score: 19515387 (setup), 0 (hold)
1443
Cumulative negative slack: 19515387 (19515387+0)
1444
--------------------------------------------------------------------------------
1445
 
1446
--------------------------------------------------------------------------------
1447
 
1448
Total time: 0 secs

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.