1 |
4 |
ale500 |
/* Verilog netlist generated by SCUBA Diamond_2.2_Production (99) */
|
2 |
|
|
/* Module Version: 7.2 */
|
3 |
|
|
/* /usr/local/diamond/2.2_x64/ispfpga/bin/lin64/scuba -w -n bios2k -lang verilog -synth synplify -bus_exp 7 -bb -arch xo2c00 -type bram -wp 11 -rp 1010 -data_width 8 -rdata_width 8 -num_rows 2048 -cascade -1 -memfile test1.mem -memformat orca -writemodeA NORMAL -writemodeB NORMAL -e */
|
4 |
|
|
/* Sat Dec 28 21:50:48 2013 */
|
5 |
|
|
|
6 |
|
|
|
7 |
|
|
`timescale 1 ns / 1 ps
|
8 |
|
|
module bios2k (DataInA, DataInB, AddressA, AddressB, ClockA, ClockB,
|
9 |
|
|
ClockEnA, ClockEnB, WrA, WrB, ResetA, ResetB, QA, QB)/* synthesis NGD_DRC_MASK=1 */;
|
10 |
|
|
input wire [7:0] DataInA;
|
11 |
|
|
input wire [7:0] DataInB;
|
12 |
|
|
input wire [10:0] AddressA;
|
13 |
|
|
input wire [10:0] AddressB;
|
14 |
|
|
input wire ClockA;
|
15 |
|
|
input wire ClockB;
|
16 |
|
|
input wire ClockEnA;
|
17 |
|
|
input wire ClockEnB;
|
18 |
|
|
input wire WrA;
|
19 |
|
|
input wire WrB;
|
20 |
|
|
input wire ResetA;
|
21 |
|
|
input wire ResetB;
|
22 |
|
|
output wire [7:0] QA;
|
23 |
|
|
output wire [7:0] QB;
|
24 |
|
|
|
25 |
|
|
wire scuba_vhi;
|
26 |
|
|
wire scuba_vlo;
|
27 |
|
|
|
28 |
|
|
VHI scuba_vhi_inst (.Z(scuba_vhi));
|
29 |
|
|
|
30 |
|
|
defparam bios2k_0_0_1.INIT_DATA = "STATIC" ;
|
31 |
|
|
defparam bios2k_0_0_1.ASYNC_RESET_RELEASE = "SYNC" ;
|
32 |
|
|
defparam bios2k_0_0_1.INITVAL_1F = "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000" ;
|
33 |
|
|
defparam bios2k_0_0_1.INITVAL_1E = "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000" ;
|
34 |
|
|
defparam bios2k_0_0_1.INITVAL_1D = "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000" ;
|
35 |
|
|
defparam bios2k_0_0_1.INITVAL_1C = "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000" ;
|
36 |
|
|
defparam bios2k_0_0_1.INITVAL_1B = "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000" ;
|
37 |
|
|
defparam bios2k_0_0_1.INITVAL_1A = "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000" ;
|
38 |
|
|
defparam bios2k_0_0_1.INITVAL_19 = "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000" ;
|
39 |
|
|
defparam bios2k_0_0_1.INITVAL_18 = "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000" ;
|
40 |
|
|
defparam bios2k_0_0_1.INITVAL_17 = "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000" ;
|
41 |
|
|
defparam bios2k_0_0_1.INITVAL_16 = "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000" ;
|
42 |
|
|
defparam bios2k_0_0_1.INITVAL_15 = "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000" ;
|
43 |
|
|
defparam bios2k_0_0_1.INITVAL_14 = "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000" ;
|
44 |
|
|
defparam bios2k_0_0_1.INITVAL_13 = "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000" ;
|
45 |
|
|
defparam bios2k_0_0_1.INITVAL_12 = "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000" ;
|
46 |
|
|
defparam bios2k_0_0_1.INITVAL_11 = "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000" ;
|
47 |
|
|
defparam bios2k_0_0_1.INITVAL_10 = "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000" ;
|
48 |
|
|
defparam bios2k_0_0_1.INITVAL_0F = "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000" ;
|
49 |
|
|
defparam bios2k_0_0_1.INITVAL_0E = "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000" ;
|
50 |
|
|
defparam bios2k_0_0_1.INITVAL_0D = "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000" ;
|
51 |
|
|
defparam bios2k_0_0_1.INITVAL_0C = "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000" ;
|
52 |
|
|
defparam bios2k_0_0_1.INITVAL_0B = "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000" ;
|
53 |
|
|
defparam bios2k_0_0_1.INITVAL_0A = "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000" ;
|
54 |
|
|
defparam bios2k_0_0_1.INITVAL_09 = "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000" ;
|
55 |
|
|
defparam bios2k_0_0_1.INITVAL_08 = "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000" ;
|
56 |
|
|
defparam bios2k_0_0_1.INITVAL_07 = "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000" ;
|
57 |
|
|
defparam bios2k_0_0_1.INITVAL_06 = "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000" ;
|
58 |
|
|
defparam bios2k_0_0_1.INITVAL_05 = "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000" ;
|
59 |
|
|
defparam bios2k_0_0_1.INITVAL_04 = "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000" ;
|
60 |
|
|
defparam bios2k_0_0_1.INITVAL_03 = "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000" ;
|
61 |
|
|
defparam bios2k_0_0_1.INITVAL_02 = "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000" ;
|
62 |
|
|
defparam bios2k_0_0_1.INITVAL_01 = "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000" ;
|
63 |
|
|
defparam bios2k_0_0_1.INITVAL_00 = "0x0000000000000000000000000000000000000000000000000000000000000004002ECB0C07C1CC06" ;
|
64 |
|
|
defparam bios2k_0_0_1.CSDECODE_B = "0b000" ;
|
65 |
|
|
defparam bios2k_0_0_1.CSDECODE_A = "0b000" ;
|
66 |
|
|
defparam bios2k_0_0_1.WRITEMODE_B = "NORMAL" ;
|
67 |
|
|
defparam bios2k_0_0_1.WRITEMODE_A = "NORMAL" ;
|
68 |
|
|
defparam bios2k_0_0_1.GSR = "ENABLED" ;
|
69 |
|
|
defparam bios2k_0_0_1.RESETMODE = "ASYNC" ;
|
70 |
|
|
defparam bios2k_0_0_1.REGMODE_B = "NOREG" ;
|
71 |
|
|
defparam bios2k_0_0_1.REGMODE_A = "NOREG" ;
|
72 |
|
|
defparam bios2k_0_0_1.DATA_WIDTH_B = 4 ;
|
73 |
|
|
defparam bios2k_0_0_1.DATA_WIDTH_A = 4 ;
|
74 |
|
|
DP8KC bios2k_0_0_1 (.DIA8(scuba_vlo), .DIA7(scuba_vlo), .DIA6(scuba_vlo),
|
75 |
|
|
.DIA5(scuba_vlo), .DIA4(scuba_vlo), .DIA3(DataInA[3]), .DIA2(DataInA[2]),
|
76 |
|
|
.DIA1(DataInA[1]), .DIA0(DataInA[0]), .ADA12(AddressA[10]), .ADA11(AddressA[9]),
|
77 |
|
|
.ADA10(AddressA[8]), .ADA9(AddressA[7]), .ADA8(AddressA[6]), .ADA7(AddressA[5]),
|
78 |
|
|
.ADA6(AddressA[4]), .ADA5(AddressA[3]), .ADA4(AddressA[2]), .ADA3(AddressA[1]),
|
79 |
|
|
.ADA2(AddressA[0]), .ADA1(scuba_vlo), .ADA0(scuba_vlo), .CEA(ClockEnA),
|
80 |
|
|
.OCEA(ClockEnA), .CLKA(ClockA), .WEA(WrA), .CSA2(scuba_vlo), .CSA1(scuba_vlo),
|
81 |
|
|
.CSA0(scuba_vlo), .RSTA(ResetA), .DIB8(scuba_vlo), .DIB7(scuba_vlo),
|
82 |
|
|
.DIB6(scuba_vlo), .DIB5(scuba_vlo), .DIB4(scuba_vlo), .DIB3(DataInB[3]),
|
83 |
|
|
.DIB2(DataInB[2]), .DIB1(DataInB[1]), .DIB0(DataInB[0]), .ADB12(AddressB[10]),
|
84 |
|
|
.ADB11(AddressB[9]), .ADB10(AddressB[8]), .ADB9(AddressB[7]), .ADB8(AddressB[6]),
|
85 |
|
|
.ADB7(AddressB[5]), .ADB6(AddressB[4]), .ADB5(AddressB[3]), .ADB4(AddressB[2]),
|
86 |
|
|
.ADB3(AddressB[1]), .ADB2(AddressB[0]), .ADB1(scuba_vlo), .ADB0(scuba_vlo),
|
87 |
|
|
.CEB(ClockEnB), .OCEB(ClockEnB), .CLKB(ClockB), .WEB(WrB), .CSB2(scuba_vlo),
|
88 |
|
|
.CSB1(scuba_vlo), .CSB0(scuba_vlo), .RSTB(ResetB), .DOA8(), .DOA7(),
|
89 |
|
|
.DOA6(), .DOA5(), .DOA4(), .DOA3(QA[3]), .DOA2(QA[2]), .DOA1(QA[1]),
|
90 |
|
|
.DOA0(QA[0]), .DOB8(), .DOB7(), .DOB6(), .DOB5(), .DOB4(), .DOB3(QB[3]),
|
91 |
|
|
.DOB2(QB[2]), .DOB1(QB[1]), .DOB0(QB[0]))
|
92 |
|
|
/* synthesis MEM_LPC_FILE="bios2k.lpc" */
|
93 |
|
|
/* synthesis MEM_INIT_FILE="test1.mem" */;
|
94 |
|
|
|
95 |
|
|
VLO scuba_vlo_inst (.Z(scuba_vlo));
|
96 |
|
|
|
97 |
|
|
defparam bios2k_0_1_0.INIT_DATA = "STATIC" ;
|
98 |
|
|
defparam bios2k_0_1_0.ASYNC_RESET_RELEASE = "SYNC" ;
|
99 |
|
|
defparam bios2k_0_1_0.INITVAL_1F = "0x01E00000000000000000000000000000000000000000000000000000000000000000000000000000" ;
|
100 |
|
|
defparam bios2k_0_1_0.INITVAL_1E = "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000" ;
|
101 |
|
|
defparam bios2k_0_1_0.INITVAL_1D = "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000" ;
|
102 |
|
|
defparam bios2k_0_1_0.INITVAL_1C = "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000" ;
|
103 |
|
|
defparam bios2k_0_1_0.INITVAL_1B = "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000" ;
|
104 |
|
|
defparam bios2k_0_1_0.INITVAL_1A = "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000" ;
|
105 |
|
|
defparam bios2k_0_1_0.INITVAL_19 = "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000" ;
|
106 |
|
|
defparam bios2k_0_1_0.INITVAL_18 = "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000" ;
|
107 |
|
|
defparam bios2k_0_1_0.INITVAL_17 = "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000" ;
|
108 |
|
|
defparam bios2k_0_1_0.INITVAL_16 = "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000" ;
|
109 |
|
|
defparam bios2k_0_1_0.INITVAL_15 = "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000" ;
|
110 |
|
|
defparam bios2k_0_1_0.INITVAL_14 = "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000" ;
|
111 |
|
|
defparam bios2k_0_1_0.INITVAL_13 = "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000" ;
|
112 |
|
|
defparam bios2k_0_1_0.INITVAL_12 = "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000" ;
|
113 |
|
|
defparam bios2k_0_1_0.INITVAL_11 = "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000" ;
|
114 |
|
|
defparam bios2k_0_1_0.INITVAL_10 = "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000" ;
|
115 |
|
|
defparam bios2k_0_1_0.INITVAL_0F = "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000" ;
|
116 |
|
|
defparam bios2k_0_1_0.INITVAL_0E = "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000" ;
|
117 |
|
|
defparam bios2k_0_1_0.INITVAL_0D = "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000" ;
|
118 |
|
|
defparam bios2k_0_1_0.INITVAL_0C = "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000" ;
|
119 |
|
|
defparam bios2k_0_1_0.INITVAL_0B = "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000" ;
|
120 |
|
|
defparam bios2k_0_1_0.INITVAL_0A = "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000" ;
|
121 |
|
|
defparam bios2k_0_1_0.INITVAL_09 = "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000" ;
|
122 |
|
|
defparam bios2k_0_1_0.INITVAL_08 = "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000" ;
|
123 |
|
|
defparam bios2k_0_1_0.INITVAL_07 = "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000" ;
|
124 |
|
|
defparam bios2k_0_1_0.INITVAL_06 = "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000" ;
|
125 |
|
|
defparam bios2k_0_1_0.INITVAL_05 = "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000" ;
|
126 |
|
|
defparam bios2k_0_1_0.INITVAL_04 = "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000" ;
|
127 |
|
|
defparam bios2k_0_1_0.INITVAL_03 = "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000" ;
|
128 |
|
|
defparam bios2k_0_1_0.INITVAL_02 = "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000" ;
|
129 |
|
|
defparam bios2k_0_1_0.INITVAL_01 = "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000" ;
|
130 |
|
|
defparam bios2k_0_1_0.INITVAL_00 = "0x000000000000000000000000000000000000000000000000000000000000000F201A5F040941F008" ;
|
131 |
|
|
defparam bios2k_0_1_0.CSDECODE_B = "0b000" ;
|
132 |
|
|
defparam bios2k_0_1_0.CSDECODE_A = "0b000" ;
|
133 |
|
|
defparam bios2k_0_1_0.WRITEMODE_B = "NORMAL" ;
|
134 |
|
|
defparam bios2k_0_1_0.WRITEMODE_A = "NORMAL" ;
|
135 |
|
|
defparam bios2k_0_1_0.GSR = "ENABLED" ;
|
136 |
|
|
defparam bios2k_0_1_0.RESETMODE = "ASYNC" ;
|
137 |
|
|
defparam bios2k_0_1_0.REGMODE_B = "NOREG" ;
|
138 |
|
|
defparam bios2k_0_1_0.REGMODE_A = "NOREG" ;
|
139 |
|
|
defparam bios2k_0_1_0.DATA_WIDTH_B = 4 ;
|
140 |
|
|
defparam bios2k_0_1_0.DATA_WIDTH_A = 4 ;
|
141 |
|
|
DP8KC bios2k_0_1_0 (.DIA8(scuba_vlo), .DIA7(scuba_vlo), .DIA6(scuba_vlo),
|
142 |
|
|
.DIA5(scuba_vlo), .DIA4(scuba_vlo), .DIA3(DataInA[7]), .DIA2(DataInA[6]),
|
143 |
|
|
.DIA1(DataInA[5]), .DIA0(DataInA[4]), .ADA12(AddressA[10]), .ADA11(AddressA[9]),
|
144 |
|
|
.ADA10(AddressA[8]), .ADA9(AddressA[7]), .ADA8(AddressA[6]), .ADA7(AddressA[5]),
|
145 |
|
|
.ADA6(AddressA[4]), .ADA5(AddressA[3]), .ADA4(AddressA[2]), .ADA3(AddressA[1]),
|
146 |
|
|
.ADA2(AddressA[0]), .ADA1(scuba_vlo), .ADA0(scuba_vlo), .CEA(ClockEnA),
|
147 |
|
|
.OCEA(ClockEnA), .CLKA(ClockA), .WEA(WrA), .CSA2(scuba_vlo), .CSA1(scuba_vlo),
|
148 |
|
|
.CSA0(scuba_vlo), .RSTA(ResetA), .DIB8(scuba_vlo), .DIB7(scuba_vlo),
|
149 |
|
|
.DIB6(scuba_vlo), .DIB5(scuba_vlo), .DIB4(scuba_vlo), .DIB3(DataInB[7]),
|
150 |
|
|
.DIB2(DataInB[6]), .DIB1(DataInB[5]), .DIB0(DataInB[4]), .ADB12(AddressB[10]),
|
151 |
|
|
.ADB11(AddressB[9]), .ADB10(AddressB[8]), .ADB9(AddressB[7]), .ADB8(AddressB[6]),
|
152 |
|
|
.ADB7(AddressB[5]), .ADB6(AddressB[4]), .ADB5(AddressB[3]), .ADB4(AddressB[2]),
|
153 |
|
|
.ADB3(AddressB[1]), .ADB2(AddressB[0]), .ADB1(scuba_vlo), .ADB0(scuba_vlo),
|
154 |
|
|
.CEB(ClockEnB), .OCEB(ClockEnB), .CLKB(ClockB), .WEB(WrB), .CSB2(scuba_vlo),
|
155 |
|
|
.CSB1(scuba_vlo), .CSB0(scuba_vlo), .RSTB(ResetB), .DOA8(), .DOA7(),
|
156 |
|
|
.DOA6(), .DOA5(), .DOA4(), .DOA3(QA[7]), .DOA2(QA[6]), .DOA1(QA[5]),
|
157 |
|
|
.DOA0(QA[4]), .DOB8(), .DOB7(), .DOB6(), .DOB5(), .DOB4(), .DOB3(QB[7]),
|
158 |
|
|
.DOB2(QB[6]), .DOB1(QB[5]), .DOB0(QB[4]))
|
159 |
|
|
/* synthesis MEM_LPC_FILE="bios2k.lpc" */
|
160 |
|
|
/* synthesis MEM_INIT_FILE="test1.mem" */;
|
161 |
|
|
|
162 |
|
|
|
163 |
|
|
|
164 |
|
|
// exemplar begin
|
165 |
|
|
// exemplar attribute bios2k_0_0_1 MEM_LPC_FILE bios2k.lpc
|
166 |
|
|
// exemplar attribute bios2k_0_0_1 MEM_INIT_FILE test1.mem
|
167 |
|
|
// exemplar attribute bios2k_0_1_0 MEM_LPC_FILE bios2k.lpc
|
168 |
|
|
// exemplar attribute bios2k_0_1_0 MEM_INIT_FILE test1.mem
|
169 |
|
|
// exemplar end
|
170 |
|
|
|
171 |
|
|
endmodule
|