OpenCores
URL https://opencores.org/ocsvn/8bit_vedic_multiplier/8bit_vedic_multiplier/trunk

Subversion Repositories 8bit_vedic_multiplier

[/] [8bit_vedic_multiplier/] [trunk/] [bench/] [test.v] - Blame information for rev 2

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 2 DragonStar
module test;
2
 
3
        reg [7:0] a,b;
4
        wire [15:0] prod;
5
        reg clk;
6
 
7
        vedic8x8 U0(a,b,prod);
8
 
9
        initial begin
10
                clk = 0;
11
                #500;
12
                $finish;
13
        end
14
 
15
        always@(posedge clk) begin
16
                a = $random;
17
                b = $random;
18
                #20;
19
        end
20
 
21
        always #10 clk = !clk;
22
 
23
        reg [7:0] a_reg,b_reg;
24
 
25
        always@(posedge clk) begin
26
                a_reg <= a;
27
                b_reg <= b;
28
        end
29
 
30
        always@(posedge clk)begin
31
                if((a_reg > 0) && (b_reg > 0)) begin
32
                        if(prod == a_reg *b_reg)
33
                                $display("%d x %d = %d, Test Passed", a_reg, b_reg, prod);
34
                        else
35
                                $display("%d x %d = %d, Test Failed", a_reg, b_reg, prod);
36
                end
37
        end
38
 
39
endmodule

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.