OpenCores
URL https://opencores.org/ocsvn/8bit_vedic_multiplier/8bit_vedic_multiplier/trunk

Subversion Repositories 8bit_vedic_multiplier

[/] [8bit_vedic_multiplier/] [trunk/] [rtl/] [vedic8x8.v] - Blame information for rev 2

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 2 DragonStar
module vedic8x8(input [7:0] a,b, output [15:0] prod);
2
 
3
        wire [7:0] mult0, mult1, mult2, mult3;
4
        wire [7:0] sum0;
5
        wire [11:0] sum1, sum2;
6
        wire carry0, carry2, carry3;
7
 
8
        vedic4x4 VD0(a[3:0],b[3:0],mult0);
9
        vedic4x4 VD1(a[3:0],b[7:4],mult1);
10
        vedic4x4 VD2(a[7:4],b[3:0],mult2);
11
        vedic4x4 VD3(a[7:4],b[7:4],mult3);
12
 
13
        ripple_adder_8bit RA0({4'b0,mult0[7:4]},mult2,1'b0,sum0,carry0);
14
        ripple_adder_12bit RA1({4'b0,mult1},{mult3,4'b0},1'b0,sum1,carry1);
15
        ripple_adder_12bit RA2({4'b0,sum0},sum1,1'b0,sum2,carry2);
16
 
17
        assign prod = {sum2,mult0[3:0]};
18
 
19
endmodule

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.