OpenCores
URL https://opencores.org/ocsvn/System09/System09/trunk

Subversion Repositories System09

[/] [System09/] [tags/] [V10/] [rtl/] [vhdl/] [sbug.vhd] - Blame information for rev 66

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 2 dilbert57
--===========================================================================----
2
--
3
--  S Y N T H E Z I A B L E    SBUG - Monitor ROM for System09.
4
--
5
--  www.OpenCores.Org - September 2003
6
--  This core adheres to the GNU public license  
7
-- 
8
--         FILE NAME: sbug.vhd
9
--       ENTITY NAME: boot_rom
10
-- ARCHITECTURE NAME: basic
11
--           VERSION: 1.0
12
--                                AUTHOR: John E. Kent
13
--              DATE: 15 December 2002
14
--      DEPENDENCIES: ieee.Std_Logic_1164
15
--                    ieee.std_logic_unsigned
16
--                    ieee.std_logic_arith
17
--       DESCRIPTION: 2048 byte x 8 bit ROM Monitor program
18
--                    for the System09 using slices
19
--                    Sits at $F800
20
--                    ACIA at $E004
21
--                    DAT at $FFF0
22
--
23
--
24
library ieee;
25
use ieee.std_logic_1164.all;
26
use ieee.std_logic_arith.all;
27
use ieee.std_logic_unsigned.all;
28
 
29
entity boot_rom is
30
  port (
31
    addr   : in   std_logic_vector(10 downto 0);
32
    data   : out  std_logic_vector(7 downto 0)
33
  );
34
end;
35
 
36
architecture basic of boot_rom is
37
  constant width   : integer := 8;
38
  constant memsize : integer := 2048;
39
 
40
  type rom_array is array(0 to memsize-1) of std_logic_vector(width-1 downto 0);
41
 
42
  constant rom_data : rom_array :=
43
(
44
"11111000",
45
"00010100",
46
"11111000",
47
"01100001",
48
"11111101",
49
"11001111",
50
"11111101",
51
"11001001",
52
"11111101",
53
"11011111",
54
"11111101",
55
"11101110",
56
"11111101",
57
"10111101",
58
"11111101",
59
"10110001",
60
"11111101",
61
"10101101",
62
"11111011",
63
"10000001",
64
"10001110",
65
"11111110",
66
"01001111",
67
"00010000",
68
"10001110",
69
"11011111",
70
"11000000",
71
"11000110",
72
"00010000",
73
"10100110",
74
"10000000",
75
"10100111",
76
"10100000",
77
"01011010",
78
"00100110",
79
"11111001",
80
"10001110",
81
"11100000",
82
"00000100",
83
"10111111",
84
"11011111",
85
"11100000",
86
"00010111",
87
"00000010",
88
"01111010",
89
"11000110",
90
"00001100",
91
"01101111",
92
"11100010",
93
"01011010",
94
"00100110",
95
"11111011",
96
"00110000",
97
"10001100",
98
"11011101",
99
"10101111",
100
"01101010",
101
"10000110",
102
"11010000",
103
"10100111",
104
"11100100",
105
"00011111",
106
"01000011",
107
"00010111",
108
"00000101",
109
"10111110",
110
"10001110",
111
"11111110",
112
"01011111",
113
"00010111",
114
"00000101",
115
"01110101",
116
"10001110",
117
"11011111",
118
"11010000",
119
"01001111",
120
"11000110",
121
"00001101",
122
"01101101",
123
"10000101",
124
"00100111",
125
"00000011",
126
"10001011",
127
"00000100",
128
"00011001",
129
"01011010",
130
"00101010",
131
"11110110",
132
"00010111",
133
"00000101",
134
"00100110",
135
"10001110",
136
"11111110",
137
"01110100",
138
"00010111",
139
"00000101",
140
"01011100",
141
"10001110",
142
"11111110",
143
"01111011",
144
"00010111",
145
"00000101",
146
"01000110",
147
"00010111",
148
"00000101",
149
"01100101",
150
"10000100",
151
"01111111",
152
"10000001",
153
"00001101",
154
"00100111",
155
"11110001",
156
"00011111",
157
"10001001",
158
"10000001",
159
"00100000",
160
"00101100",
161
"00001001",
162
"10000110",
163
"01011110",
164
"00010111",
165
"00000101",
166
"01110011",
167
"00011111",
168
"10011000",
169
"10001011",
170
"01000000",
171
"00010111",
172
"00000101",
173
"01101100",
174
"00010111",
175
"00000101",
176
"01100111",
177
"11000001",
178
"01100000",
179
"00101111",
180
"00000010",
181
"11000000",
182
"00100000",
183
"10001110",
184
"11111110",
185
"00010011",
186
"11100001",
187
"10000000",
188
"00100111",
189
"00001111",
190
"00110000",
191
"00000010",
192
"10001100",
193
"11111110",
194
"01001111",
195
"00100110",
196
"11110101",
197
"10001110",
198
"11111110",
199
"01111101",
200
"00010111",
201
"00000101",
202
"00011110",
203
"00100000",
204
"11000000",
205
"10101101",
206
"10010100",
207
"00100000",
208
"10111100",
209
"00011111",
210
"00110100",
211
"00111011",
212
"10001110",
213
"11111110",
214
"10000011",
215
"00010111",
216
"00000100",
217
"11111111",
218
"00010111",
219
"00000100",
220
"00010001",
221
"00010111",
222
"00000100",
223
"00011001",
224
"00010111",
225
"00000100",
226
"00100001",
227
"00010111",
228
"00000100",
229
"00101001",
230
"00010111",
231
"00000100",
232
"00110001",
233
"10001110",
234
"11111110",
235
"10000011",
236
"00010111",
237
"00000100",
238
"11101010",
239
"00010111",
240
"00000100",
241
"00110011",
242
"00010111",
243
"00000100",
244
"00111010",
245
"00010111",
246
"00000100",
247
"01000001",
248
"00010110",
249
"00000100",
250
"01001000",
251
"00010111",
252
"00000100",
253
"00100111",
254
"00010111",
255
"00000101",
256
"00010111",
257
"00010111",
258
"00000100",
259
"01010111",
260
"00101001",
261
"00000010",
262
"10101111",
263
"01001010",
264
"00111001",
265
"00010111",
266
"00000011",
267
"11101101",
268
"00010111",
269
"00000101",
270
"00001001",
271
"00010111",
272
"00000100",
273
"01001001",
274
"00101001",
275
"00000010",
276
"10101111",
277
"01001000",
278
"00111001",
279
"00010111",
280
"00000100",
281
"00000000",
282
"00010111",
283
"00000100",
284
"11111011",
285
"00010111",
286
"00000100",
287
"00111011",
288
"00101001",
289
"00000010",
290
"10101111",
291
"01000110",
292
"00111001",
293
"00010111",
294
"00000011",
295
"11100111",
296
"00010111",
297
"00000100",
298
"11101101",
299
"00010111",
300
"00000100",
301
"00101101",
302
"00101001",
303
"00000010",
304
"10101111",
305
"01000100",
306
"00111001",
307
"00010111",
308
"00000011",
309
"11001110",
310
"00010111",
311
"00000100",
312
"11011111",
313
"00010111",
314
"00000100",
315
"00110000",
316
"00101001",
317
"00000010",
318
"10100111",
319
"01000011",
320
"00111001",
321
"00010111",
322
"00000011",
323
"11110101",
324
"00010111",
325
"00000100",
326
"11010001",
327
"00010111",
328
"00000100",
329
"00100010",
330
"00101001",
331
"00000010",
332
"10100111",
333
"01000010",
334
"00111001",
335
"00010111",
336
"00000011",
337
"11011101",
338
"00010111",
339
"00000100",
340
"11000011",
341
"00010111",
342
"00000100",
343
"00010100",
344
"00101001",
345
"00000010",
346
"10100111",
347
"01000001",
348
"00111001",
349
"00010111",
350
"00000011",
351
"11100011",
352
"00010111",
353
"00000100",
354
"10110101",
355
"00010111",
356
"00000100",
357
"00000110",
358
"00101001",
359
"00000100",
360
"10001010",
361
"10000000",
362
"10100111",
363
"11000100",
364
"00111001",
365
"00010111",
366
"00000011",
367
"11101011",
368
"00101001",
369
"00101101",
370
"00011111",
371
"00010010",
372
"10001110",
373
"11111110",
374
"10000011",
375
"00010111",
376
"00000100",
377
"01011111",
378
"00011111",
379
"00100001",
380
"00010111",
381
"00000100",
382
"00100110",
383
"00010111",
384
"00000100",
385
"10010110",
386
"10100110",
387
"10100100",
388
"00010111",
389
"00000100",
390
"00100110",
391
"00010111",
392
"00000100",
393
"10001110",
394
"00010111",
395
"00000011",
396
"11011111",
397
"00101000",
398
"00010001",
399
"10000001",
400
"00001000",
401
"00100111",
402
"11100001",
403
"10000001",
404
"00011000",
405
"00100111",
406
"11011101",
407
"10000001",
408
"01011110",
409
"00100111",
410
"00010111",
411
"10000001",
412
"00001101",
413
"00100110",
414
"00001111",
415
"00111001",
416
"10100111",
417
"10100100",
418
"10100001",
419
"10100100",
420
"00100111",
421
"00001000",
422
"00010111",
423
"00000100",
424
"01101111",
425
"10000110",
426
"00111111",
427
"00010111",
428
"00000100",
429
"01101100",
430
"00110001",
431
"00100001",
432
"00100000",
433
"11000010",
434
"00110001",
435
"00111111",
436
"00100000",
437
"10111110",
438
"00010111",
439
"00000011",
440
"00110101",
441
"00011111",
442
"00110010",
443
"10001110",
444
"11011111",
445
"11000000",
446
"00110000",
447
"00011111",
448
"00100000",
449
"00000101",
450
"00010111",
451
"00000011",
452
"10001011",
453
"00101001",
454
"00000110",
455
"00110100",
456
"00100000",
457
"10101100",
458
"11100001",
459
"00100100",
460
"00000001",
461
"00111001",
462
"00011111",
463
"00010000",
464
"11000011",
465
"00000000",
466
"00010000",
467
"11000100",
468
"11110000",
469
"00110100",
470
"00000110",
471
"00011111",
472
"00100000",
473
"11000100",
474
"11110000",
475
"00011111",
476
"00000001",
477
"10101100",
478
"11100100",
479
"00100111",
480
"00000101",
481
"00010111",
482
"00000100",
483
"00100111",
484
"00100111",
485
"00000011",
486
"00110010",
487
"01100010",
488
"00111001",
489
"00110100",
490
"00010000",
491
"10001110",
492
"11111110",
493
"10000011",
494
"00010111",
495
"00000011",
496
"11101000",
497
"10101110",
498
"11100100",
499
"00010111",
500
"00000011",
501
"10101111",
502
"11000110",
503
"00010000",
504
"10100110",
505
"10000000",
506
"00010111",
507
"00000011",
508
"10110000",
509
"00010111",
510
"00000100",
511
"00011000",
512
"01011010",
513
"00100110",
514
"11110101",
515
"00010111",
516
"00000100",
517
"00010000",
518
"10101110",
519
"11100001",
520
"11000110",
521
"00010000",
522
"10100110",
523
"10000000",
524
"10000001",
525
"00100000",
526
"00100101",
527
"00000100",
528
"10000001",
529
"01111110",
530
"00100011",
531
"00000010",
532
"10000110",
533
"00101110",
534
"00010111",
535
"00000100",
536
"00000001",
537
"01011010",
538
"00100110",
539
"11101110",
540
"00100000",
541
"10111111",
542
"01101111",
543
"11100010",
544
"01101111",
545
"11100010",
546
"00010111",
547
"00000011",
548
"00101011",
549
"00110100",
550
"00110000",
551
"00101001",
552
"01111011",
553
"10101100",
554
"01100010",
555
"00100101",
556
"01110111",
557
"00010111",
558
"00000011",
559
"11101000",
560
"00011111",
561
"00100000",
562
"11100011",
563
"01100100",
564
"00110100",
565
"00000100",
566
"10101011",
567
"11100000",
568
"10100111",
569
"10100000",
570
"00010000",
571
"10101100",
572
"11100100",
573
"00100101",
574
"11110001",
575
"00010000",
576
"10101110",
577
"01100010",
578
"00011111",
579
"00100000",
580
"11100011",
581
"01100100",
582
"00110100",
583
"00000010",
584
"11101011",
585
"11100000",
586
"11101000",
587
"10100000",
588
"00100111",
589
"00111100",
590
"10001110",
591
"11111110",
592
"10000011",
593
"00010111",
594
"00000011",
595
"10000101",
596
"00110000",
597
"00111111",
598
"00010111",
599
"00000011",
600
"01001100",
601
"00110100",
602
"00010000",
603
"10001110",
604
"11111110",
605
"10100001",
606
"00010111",
607
"00000011",
608
"10001000",
609
"00110101",
610
"00010000",
611
"00010111",
612
"00000001",
613
"01000111",
614
"00010111",
615
"00000011",
616
"01010000",
617
"00010111",
618
"00000011",
619
"00111001",
620
"10001110",
621
"11111110",
622
"10000111",
623
"00010111",
624
"00000011",
625
"01110111",
626
"10101110",
627
"01100100",
628
"00010111",
629
"00000011",
630
"00101110",
631
"10001110",
632
"11111110",
633
"10001111",
634
"00010111",
635
"00000011",
636
"01101100",
637
"00011111",
638
"10011000",
639
"10001110",
640
"11111110",
641
"10100110",
642
"00010111",
643
"00000011",
644
"00111110",
645
"00010111",
646
"00000011",
647
"10000011",
648
"00100110",
649
"00011010",
650
"00010000",
651
"10101100",
652
"11100100",
653
"00100101",
654
"10110011",
655
"10000110",
656
"00101011",
657
"00010111",
658
"00000011",
659
"10000110",
660
"00010111",
661
"00000011",
662
"01110100",
663
"00100110",
664
"00001011",
665
"00010000",
666
"10101110",
667
"01100010",
668
"01101100",
669
"01100101",
670
"00100110",
671
"10010000",
672
"01101100",
673
"01100100",
674
"00100110",
675
"10001100",
676
"00110010",
677
"01100110",
678
"00111001",
679
"00010111",
680
"00000010",
681
"10110001",
682
"00101001",
683
"00011110",
684
"10001100",
685
"11011111",
686
"11000000",
687
"00100100",
688
"00011010",
689
"00110100",
690
"00010000",
691
"10001110",
692
"11111111",
693
"11111111",
694
"10001101",
695
"01010101",
696
"00110101",
697
"00010000",
698
"00100111",
699
"00001111",
700
"10100110",
701
"10000100",
702
"10000001",
703
"00111111",
704
"00100111",
705
"00001001",
706
"10100111",
707
"10100000",
708
"10101111",
709
"10100100",
710
"10000110",
711
"00111111",
712
"10100111",
713
"10000100",
714
"00111001",
715
"00010111",
716
"00000011",
717
"01001010",
718
"10000110",
719
"00111111",
720
"00010110",
721
"00000011",
722
"01000111",
723
"00010000",
724
"10001110",
725
"11011111",
726
"11100011",
727
"11000110",
728
"00001000",
729
"10001101",
730
"00011000",
731
"01011010",
732
"00100110",
733
"11111011",
734
"00111001",
735
"00011111",
736
"01000011",
737
"10101110",
738
"01001010",
739
"00110000",
740
"00011111",
741
"10001101",
742
"00100110",
743
"00100111",
744
"00000100",
745
"10101111",
746
"01001010",
747
"10001101",
748
"00000110",
749
"00010111",
750
"11111101",
751
"11100100",
752
"00010110",
753
"11111101",
754
"10011010",
755
"10101110",
756
"00100001",
757
"10001100",
758
"11011111",
759
"11000000",
760
"00100100",
761
"00001010",
762
"10100110",
763
"10000100",
764
"10000001",
765
"00111111",
766
"00100110",
767
"00000100",
768
"10100110",
769
"10100100",
770
"10100111",
771
"10000100",
772
"10000110",
773
"11111111",
774
"10100111",
775
"10100000",
776
"10100111",
777
"10100000",
778
"10100111",
779
"10100000",
780
"00111001",
781
"00010000",
782
"10001110",
783
"11011111",
784
"11100011",
785
"11000110",
786
"00001000",
787
"10100110",
788
"10100000",
789
"10101100",
790
"10100001",
791
"00100111",
792
"00000100",
793
"01011010",
794
"00100110",
795
"11110111",
796
"00111001",
797
"00110001",
798
"00111101",
799
"00111001",
800
"10000110",
801
"11011110",
802
"10110111",
803
"11110000",
804
"00100100",
805
"10000110",
806
"11111111",
807
"10110111",
808
"11110000",
809
"00010100",
810
"10110111",
811
"11110000",
812
"00010000",
813
"10110111",
814
"11110000",
815
"00010101",
816
"10110111",
817
"11110000",
818
"00010110",
819
"01111101",
820
"11110000",
821
"00010000",
822
"10000110",
823
"11011000",
824
"10110111",
825
"11110000",
826
"00100000",
827
"00010111",
828
"00000000",
829
"10010111",
830
"10110110",
831
"11110000",
832
"00100000",
833
"00101011",
834
"11111011",
835
"10000110",
836
"00001001",
837
"10110111",
838
"11110000",
839
"00100000",
840
"00010111",
841
"00000000",
842
"10001010",
843
"10110110",
844
"11110000",
845
"00100000",
846
"10000101",
847
"00000001",
848
"00100110",
849
"11111001",
850
"10000101",
851
"00010000",
852
"00100110",
853
"11001010",
854
"10001110",
855
"11000000",
856
"00000000",
857
"10001101",
858
"01010010",
859
"10001010",
860
"00010000",
861
"10110111",
862
"11110000",
863
"01000000",
864
"00011111",
865
"00010000",
866
"01000011",
867
"01010011",
868
"11111101",
869
"11110000",
870
"00000000",
871
"10001110",
872
"11111110",
873
"11111111",
874
"10111111",
875
"11110000",
876
"00000010",
877
"10000110",
878
"11111111",
879
"10110111",
880
"11110000",
881
"00010000",
882
"10000110",
883
"11111110",
884
"10110111",
885
"11110000",
886
"00010100",
887
"10000110",
888
"00000001",
889
"10110111",
890
"11110000",
891
"00100010",
892
"10000110",
893
"10001100",
894
"10110111",
895
"11110000",
896
"00100000",
897
"10001101",
898
"01010010",
899
"01011111",
900
"00110100",
901
"00000100",
902
"01011111",
903
"01111101",
904
"11110000",
905
"00010000",
906
"00101010",
907
"00001010",
908
"01011010",
909
"00100110",
910
"11111000",
911
"00110101",
912
"00000100",
913
"01011010",
914
"00100110",
915
"11110000",
916
"00100000",
917
"10001010",
918
"00110101",
919
"00000100",
920
"10110110",
921
"11110000",
922
"00100000",
923
"10000101",
924
"00011100",
925
"00100111",
926
"00000001",
927
"00111001",
928
"11000110",
929
"11011110",
930
"11110111",
931
"11110000",
932
"00100100",
933
"10001110",
934
"11000000",
935
"00000000",
936
"10101111",
937
"01001010",
938
"00011111",
939
"00110100",
940
"00111011",
941
"00110100",
942
"00110110",
943
"10100110",
944
"01100010",
945
"01000100",
946
"01000100",
947
"01000100",
948
"01000100",
949
"00010000",
950
"10001110",
951
"11011111",
952
"11010000",
953
"11100110",
954
"10100110",
955
"01010100",
956
"01010100",
957
"01010100",
958
"01010100",
959
"11100111",
960
"11100100",
961
"11100110",
962
"10100110",
963
"01010011",
964
"01011000",
965
"01011000",
966
"01011000",
967
"01011000",
968
"10100110",
969
"01100010",
970
"10000100",
971
"00001111",
972
"10100111",
973
"01100010",
974
"11101010",
975
"01100010",
976
"11100111",
977
"01100010",
978
"00110101",
979
"00110110",
980
"00111001",
981
"00110100",
982
"00000100",
983
"11000110",
984
"00100000",
985
"01011010",
986
"00100110",
987
"11111101",
988
"00110101",
989
"00000100",
990
"00111001",
991
"01111101",
992
"11100000",
993
"00011000",
994
"01111111",
995
"11100000",
996
"00010100",
997
"11000110",
998
"00000011",
999
"10001110",
1000
"00000000",
1001
"00000000",
1002
"00110000",
1003
"00000001",
1004
"10001100",
1005
"00000000",
1006
"00000000",
1007
"00100110",
1008
"11111001",
1009
"01011010",
1010
"00100110",
1011
"11110110",
1012
"10000110",
1013
"00001111",
1014
"10110111",
1015
"11100000",
1016
"00011000",
1017
"10001101",
1018
"00110111",
1019
"11110110",
1020
"11100000",
1021
"00011000",
1022
"11000101",
1023
"00000001",
1024
"00100110",
1025
"11111001",
1026
"10000110",
1027
"00000001",
1028
"10110111",
1029
"11100000",
1030
"00011010",
1031
"10001101",
1032
"00101001",
1033
"10000110",
1034
"10001100",
1035
"10110111",
1036
"11100000",
1037
"00011000",
1038
"10001101",
1039
"00100010",
1040
"10001110",
1041
"11000000",
1042
"00000000",
1043
"00100000",
1044
"00001001",
1045
"11000101",
1046
"00000010",
1047
"00100111",
1048
"00000101",
1049
"10110110",
1050
"11100000",
1051
"00011011",
1052
"10100111",
1053
"10000000",
1054
"11110110",
1055
"11100000",
1056
"00011000",
1057
"11000101",
1058
"00000001",
1059
"00100110",
1060
"11110000",
1061
"11000101",
1062
"00101100",
1063
"00100111",
1064
"00000001",
1065
"00111001",
1066
"10001110",
1067
"11000000",
1068
"00000000",
1069
"10101111",
1070
"01001010",
1071
"00011111",
1072
"00110100",
1073
"00111011",
1074
"11000110",
1075
"00100000",
1076
"01011010",
1077
"00100110",
1078
"11111101",
1079
"00111001",
1080
"10000110",
1081
"00010001",
1082
"00010111",
1083
"00000001",
1084
"11011101",
1085
"01111111",
1086
"11011111",
1087
"11100010",
1088
"00010111",
1089
"00000001",
1090
"10101101",
1091
"10000001",
1092
"01010011",
1093
"00100110",
1094
"11111001",
1095
"00010111",
1096
"00000001",
1097
"10100110",
1098
"10000001",
1099
"00111001",
1100
"00100111",
1101
"00111101",
1102
"10000001",
1103
"00110001",
1104
"00100110",
1105
"11110001",
1106
"00010111",
1107
"00000001",
1108
"00010111",
1109
"00110100",
1110
"00000010",
1111
"00101001",
1112
"00100110",
1113
"00010111",
1114
"00000000",
1115
"11111111",
1116
"00101001",
1117
"00100001",
1118
"00110100",
1119
"00010000",
1120
"11100110",
1121
"11100000",
1122
"11101011",
1123
"11100000",
1124
"11101011",
1125
"11100100",
1126
"01101010",
1127
"11100100",
1128
"01101010",
1129
"11100100",
1130
"00110100",
1131
"00000100",
1132
"00010111",
1133
"00000000",
1134
"11111101",
1135
"00110101",
1136
"00000100",
1137
"00101001",
1138
"00001100",
1139
"00110100",
1140
"00000010",
1141
"11101011",
1142
"11100000",
1143
"01101010",
1144
"11100100",
1145
"00100111",
1146
"00000101",
1147
"10100111",
1148
"10000000",
1149
"00100000",
1150
"11101011",
1151
"01011111",
1152
"00110101",
1153
"00000010",
1154
"11000001",
1155
"11111111",
1156
"00100111",
1157
"10110010",
1158
"10000110",
1159
"00111111",
1160
"00010111",
1161
"00000001",
1162
"10001111",
1163
"01110011",
1164
"11011111",
1165
"11100010",
1166
"10000110",
1167
"00010011",
1168
"00010110",
1169
"00000001",
1170
"10000111",
1171
"01101111",
1172
"11100010",
1173
"00010111",
1174
"00000000",
1175
"10111000",
1176
"00110100",
1177
"00110000",
1178
"00101001",
1179
"01001010",
1180
"10101100",
1181
"01100010",
1182
"00100101",
1183
"01000110",
1184
"00110000",
1185
"00000001",
1186
"10101111",
1187
"11100100",
1188
"10000110",
1189
"00010010",
1190
"00010111",
1191
"00000001",
1192
"01110001",
1193
"11101100",
1194
"11100100",
1195
"10100011",
1196
"01100010",
1197
"00100111",
1198
"00000110",
1199
"00010000",
1200
"10000011",
1201
"00000000",
1202
"00100000",
1203
"00100011",
1204
"00000010",
1205
"11000110",
1206
"00100000",
1207
"11100111",
1208
"01100100",
1209
"10001110",
1210
"11111110",
1211
"11101011",
1212
"00010111",
1213
"00000001",
1214
"00011010",
1215
"11001011",
1216
"00000011",
1217
"00011111",
1218
"10011000",
1219
"00010111",
1220
"00000000",
1221
"11100111",
1222
"10101110",
1223
"01100010",
1224
"00010111",
1225
"00000000",
1226
"11011010",
1227
"11101011",
1228
"01100010",
1229
"11101011",
1230
"01100011",
1231
"11101011",
1232
"10000100",
1233
"10100110",
1234
"10000000",
1235
"00010111",
1236
"00000000",
1237
"11010111",
1238
"01101010",
1239
"01100100",
1240
"00100110",
1241
"11110101",
1242
"01010011",
1243
"00011111",
1244
"10011000",
1245
"00010111",
1246
"00000000",
1247
"11001101",
1248
"10101111",
1249
"01100010",
1250
"10101100",
1251
"11100100",
1252
"00100110",
1253
"11000011",
1254
"10000110",
1255
"00010100",
1256
"00010111",
1257
"00000001",
1258
"00101111",
1259
"00110010",
1260
"01100101",
1261
"00111001",
1262
"10001110",
1263
"11111110",
1264
"10101110",
1265
"00010111",
1266
"00000000",
1267
"11110101",
1268
"00011111",
1269
"00110001",
1270
"00010110",
1271
"00000000",
1272
"10101100",
1273
"10001110",
1274
"11111110",
1275
"10111010",
1276
"00010111",
1277
"00000000",
1278
"11101010",
1279
"10101110",
1280
"01001000",
1281
"00010110",
1282
"00000000",
1283
"10100001",
1284
"10001110",
1285
"11111110",
1286
"11001100",
1287
"00010111",
1288
"00000000",
1289
"11011111",
1290
"10100110",
1291
"01000011",
1292
"00010110",
1293
"00000000",
1294
"10011110",
1295
"10001110",
1296
"11111110",
1297
"11000110",
1298
"00010111",
1299
"00000000",
1300
"11010100",
1301
"10101110",
1302
"01000100",
1303
"00010110",
1304
"00000000",
1305
"10001011",
1306
"10001110",
1307
"11111110",
1308
"11000000",
1309
"00010111",
1310
"00000000",
1311
"11001001",
1312
"10101110",
1313
"01000110",
1314
"00010110",
1315
"00000000",
1316
"10000000",
1317
"10001110",
1318
"11111110",
1319
"10110100",
1320
"00010111",
1321
"00000000",
1322
"10111110",
1323
"10101110",
1324
"01001010",
1325
"00100000",
1326
"01110110",
1327
"10001110",
1328
"11111110",
1329
"11010010",
1330
"00010111",
1331
"00000000",
1332
"10110100",
1333
"10100110",
1334
"01000001",
1335
"00100000",
1336
"01110100",
1337
"10001110",
1338
"11111110",
1339
"11010111",
1340
"00010111",
1341
"00000000",
1342
"10101010",
1343
"10100110",
1344
"01000010",
1345
"00100000",
1346
"01101010",
1347
"10001110",
1348
"11111110",
1349
"11011100",
1350
"00010111",
1351
"00000000",
1352
"10100000",
1353
"10100110",
1354
"11000100",
1355
"10001110",
1356
"11111110",
1357
"11100011",
1358
"00100000",
1359
"01110011",
1360
"10001101",
1361
"00001001",
1362
"00101001",
1363
"01001110",
1364
"00011111",
1365
"00010010",
1366
"10000110",
1367
"00101101",
1368
"00010111",
1369
"00000000",
1370
"10111111",
1371
"10001101",
1372
"00001111",
1373
"00101001",
1374
"01000011",
1375
"00011111",
1376
"00000001",
1377
"10001101",
1378
"00001001",
1379
"00101001",
1380
"00111101",
1381
"00110100",
1382
"00010000",
1383
"10100111",
1384
"01100001",
1385
"00110101",
1386
"00010000",
1387
"00111001",
1388
"10001101",
1389
"00010001",
1390
"00101001",
1391
"00110010",
1392
"01001000",
1393
"01001000",
1394
"01001000",
1395
"01001000",
1396
"00011111",
1397
"10001001",
1398
"10001101",
1399
"00000111",
1400
"00101001",
1401
"00101000",
1402
"00110100",
1403
"00000100",
1404
"10101011",
1405
"11100000",
1406
"00111001",
1407
"10001101",
1408
"01101111",
1409
"10000001",
1410
"00110000",
1411
"00100101",
1412
"00011101",
1413
"10000001",
1414
"00111001",
1415
"00100010",
1416
"00000011",
1417
"10000000",
1418
"00110000",
1419
"00111001",
1420
"10000001",
1421
"01000001",
1422
"00100101",
1423
"00010010",
1424
"10000001",
1425
"01000110",
1426
"00100010",
1427
"00000011",
1428
"10000000",
1429
"00110111",
1430
"00111001",
1431
"10000001",
1432
"01100001",
1433
"00100101",
1434
"00000111",
1435
"10000001",
1436
"01100110",
1437
"00100010",
1438
"00000011",
1439
"10000000",
1440
"01010111",
1441
"00111001",
1442
"00011010",
1443
"00000010",
1444
"00111001",
1445
"00110100",
1446
"00010000",
1447
"00110101",
1448
"00000010",
1449
"10001101",
1450
"00000010",
1451
"00110101",
1452
"00000010",
1453
"00110100",
1454
"00000010",
1455
"01000100",
1456
"01000100",
1457
"01000100",
1458
"01000100",
1459
"10001101",
1460
"00000100",
1461
"00110101",
1462
"00000010",
1463
"10000100",
1464
"00001111",
1465
"10001011",
1466
"00110000",
1467
"10000001",
1468
"00111001",
1469
"00101111",
1470
"00000010",
1471
"10001011",
1472
"00000111",
1473
"00100000",
1474
"01010111",
1475
"00110100",
1476
"00000010",
1477
"11000110",
1478
"00001000",
1479
"10100110",
1480
"10000000",
1481
"01101000",
1482
"11100100",
1483
"00100101",
1484
"00000010",
1485
"10000110",
1486
"00101101",
1487
"10001101",
1488
"01001001",
1489
"10001101",
1490
"01000101",
1491
"01011010",
1492
"00100110",
1493
"11110001",
1494
"00110101",
1495
"00000010",
1496
"00111001",
1497
"10001101",
1498
"00000010",
1499
"00100000",
1500
"00001100",
1501
"00110100",
1502
"00010000",
1503
"10001110",
1504
"11111110",
1505
"01110101",
1506
"10001101",
1507
"00000101",
1508
"00110101",
1509
"00010000",
1510
"00111001",
1511
"10001101",
1512
"00110001",
1513
"10100110",
1514
"10000000",
1515
"10000001",
1516
"00000100",
1517
"00100110",
1518
"11111000",
1519
"00111001",
1520
"01111101",
1521
"11011111",
1522
"11100010",
1523
"00100111",
1524
"00000110",
1525
"10001101",
1526
"00000100",
1527
"10000100",
1528
"01111111",
1529
"00100000",
1530
"00011111",
1531
"00110100",
1532
"00010000",
1533
"10111110",
1534
"11011111",
1535
"11100000",
1536
"10100110",
1537
"10000100",
1538
"10000101",
1539
"00000001",
1540
"00100111",
1541
"11111010",
1542
"10100110",
1543
"00000001",
1544
"00110101",
1545
"00010000",
1546
"00111001",
1547
"00110100",
1548
"00000010",
1549
"10100110",
1550
"10011111",
1551
"11011111",
1552
"11100000",
1553
"10000101",
1554
"00000001",
1555
"00110101",
1556
"00000010",
1557
"00111001",
1558
"10001101",
1559
"00000000",
1560
"10000110",
1561
"00100000",
1562
"00110100",
1563
"00010010",
1564
"10111110",
1565
"11011111",
1566
"11100000",
1567
"10100110",
1568
"10000100",
1569
"10000101",
1570
"00000010",
1571
"00100111",
1572
"11111010",
1573
"00110101",
1574
"00000010",
1575
"10100111",
1576
"00000001",
1577
"00110101",
1578
"00010000",
1579
"00111001",
1580
"10111110",
1581
"11011111",
1582
"11100000",
1583
"10000110",
1584
"00000011",
1585
"10100111",
1586
"10000100",
1587
"10000110",
1588
"00010001",
1589
"10100111",
1590
"10000100",
1591
"01101101",
1592
"00000001",
1593
"10000110",
1594
"11111111",
1595
"10110111",
1596
"11011111",
1597
"11100010",
1598
"00111001",
1599
"00000001",
1600
"11111001",
1601
"00100011",
1602
"00000010",
1603
"11111001",
1604
"00010101",
1605
"00000011",
1606
"11111001",
1607
"00110001",
1608
"00000100",
1609
"11111001",
1610
"00000111",
1611
"00010000",
1612
"11111000",
1613
"11001111",
1614
"00010101",
1615
"11111000",
1616
"11011101",
1617
"00011000",
1618
"11111000",
1619
"11111001",
1620
"00011001",
1621
"11111000",
1622
"11101011",
1623
"01000010",
1624
"11111010",
1625
"01111011",
1626
"01000100",
1627
"11111010",
1628
"11110100",
1629
"01000101",
1630
"11111001",
1631
"10010110",
1632
"01000111",
1633
"11111000",
1634
"10100101",
1635
"01001100",
1636
"11111100",
1637
"00001100",
1638
"01001101",
1639
"11111001",
1640
"01000001",
1641
"01010000",
1642
"11111100",
1643
"01100111",
1644
"01010001",
1645
"11111001",
1646
"11110010",
1647
"01010010",
1648
"11111000",
1649
"10101000",
1650
"01010011",
1651
"11111001",
1652
"10001010",
1653
"01010101",
1654
"11111011",
1655
"10110011",
1656
"01011000",
1657
"11111010",
1658
"10100111",
1659
"11111010",
1660
"10110011",
1661
"11111000",
1662
"10100111",
1663
"11111000",
1664
"10100111",
1665
"11111000",
1666
"10100111",
1667
"11111000",
1668
"10100111",
1669
"11111010",
1670
"10110011",
1671
"11111111",
1672
"11111111",
1673
"11111111",
1674
"11111111",
1675
"00000000",
1676
"00000000",
1677
"00000000",
1678
"00001101",
1679
"00001010",
1680
"00000000",
1681
"00000000",
1682
"00000000",
1683
"01010011",
1684
"00101101",
1685
"01000010",
1686
"01010101",
1687
"01000111",
1688
"00100000",
1689
"00110001",
1690
"00101110",
1691
"00111000",
1692
"00100000",
1693
"00101101",
1694
"00100000",
1695
"00000100",
1696
"01001011",
1697
"00001101",
1698
"00001010",
1699
"00000000",
1700
"00000000",
1701
"00000000",
1702
"00000100",
1703
"00111110",
1704
"00000100",
1705
"01010111",
1706
"01001000",
1707
"01000001",
1708
"01010100",
1709
"00111111",
1710
"00000100",
1711
"00100000",
1712
"00101101",
1713
"00100000",
1714
"00000100",
1715
"00101100",
1716
"00100000",
1717
"01010000",
1718
"01000001",
1719
"01010011",
1720
"01010011",
1721
"00100000",
1722
"00000100",
1723
"00101100",
1724
"00100000",
1725
"01000010",
1726
"01001001",
1727
"01010100",
1728
"01010011",
1729
"00100000",
1730
"01001001",
1731
"01001110",
1732
"00100000",
1733
"01000101",
1734
"01010010",
1735
"01010010",
1736
"01001111",
1737
"01010010",
1738
"00111010",
1739
"00100000",
1740
"00000100",
1741
"00100000",
1742
"00111101",
1743
"00111110",
1744
"00100000",
1745
"00000100",
1746
"00110111",
1747
"00110110",
1748
"00110101",
1749
"00110100",
1750
"00110011",
1751
"00110010",
1752
"00110001",
1753
"00110000",
1754
"00100000",
1755
"00100000",
1756
"01010011",
1757
"01010000",
1758
"00111101",
1759
"00000100",
1760
"00100000",
1761
"00100000",
1762
"01010000",
1763
"01000011",
1764
"00111101",
1765
"00000100",
1766
"00100000",
1767
"00100000",
1768
"01010101",
1769
"01010011",
1770
"00111101",
1771
"00000100",
1772
"00100000",
1773
"00100000",
1774
"01001001",
1775
"01011001",
1776
"00111101",
1777
"00000100",
1778
"00100000",
1779
"00100000",
1780
"01001001",
1781
"01011000",
1782
"00111101",
1783
"00000100",
1784
"00100000",
1785
"00100000",
1786
"01000100",
1787
"01010000",
1788
"00111101",
1789
"00000100",
1790
"00100000",
1791
"00100000",
1792
"01000001",
1793
"00111101",
1794
"00000100",
1795
"00100000",
1796
"00100000",
1797
"01000010",
1798
"00111101",
1799
"00000100",
1800
"00100000",
1801
"00100000",
1802
"01000011",
1803
"01000011",
1804
"00111010",
1805
"00100000",
1806
"00000100",
1807
"01000101",
1808
"01000110",
1809
"01001000",
1810
"01001001",
1811
"01001110",
1812
"01011010",
1813
"01010110",
1814
"01000011",
1815
"01010011",
1816
"00110001",
1817
"00000100",
1818
"11111111",
1819
"11111111",
1820
"11111111",
1821
"11111111",
1822
"11111111",
1823
"11111111",
1824
"11111111",
1825
"11111111",
1826
"11111111",
1827
"11111111",
1828
"11111111",
1829
"11111111",
1830
"11111111",
1831
"11111111",
1832
"11111111",
1833
"00000000",
1834
"00000000",
1835
"00000000",
1836
"10001110",
1837
"11111111",
1838
"11110000",
1839
"10000110",
1840
"00001111",
1841
"10100111",
1842
"10000000",
1843
"01001010",
1844
"00100110",
1845
"11111011",
1846
"10000110",
1847
"11110000",
1848
"10100111",
1849
"10000100",
1850
"10001110",
1851
"11010000",
1852
"10100000",
1853
"00010000",
1854
"10001110",
1855
"01010101",
1856
"10101010",
1857
"11101110",
1858
"10000100",
1859
"00010000",
1860
"10101111",
1861
"10000100",
1862
"00010000",
1863
"10101100",
1864
"10000100",
1865
"00100111",
1866
"00001011",
1867
"00110000",
1868
"10001001",
1869
"11110000",
1870
"00000000",
1871
"10001100",
1872
"11110000",
1873
"10100000",
1874
"00100110",
1875
"11101101",
1876
"00100000",
1877
"11010110",
1878
"11101111",
1879
"10000100",
1880
"00011111",
1881
"00010000",
1882
"01000011",
1883
"01000100",
1884
"01000100",
1885
"01000100",
1886
"01000100",
1887
"10110111",
1888
"11111111",
1889
"11111101",
1890
"00010000",
1891
"11001110",
1892
"11011111",
1893
"11000000",
1894
"00010000",
1895
"10001110",
1896
"11011111",
1897
"11010000",
1898
"10100111",
1899
"00101101",
1900
"01101111",
1901
"00101110",
1902
"10000110",
1903
"11110000",
1904
"10100111",
1905
"00101111",
1906
"10000110",
1907
"00001100",
1908
"01101111",
1909
"10100110",
1910
"01001010",
1911
"00101010",
1912
"11111011",
1913
"00110000",
1914
"10001001",
1915
"11110000",
1916
"00000000",
1917
"10001100",
1918
"11110000",
1919
"10100000",
1920
"00100111",
1921
"00100010",
1922
"11101110",
1923
"10000100",
1924
"00010000",
1925
"10001110",
1926
"01010101",
1927
"10101010",
1928
"00010000",
1929
"10101111",
1930
"10000100",
1931
"00010000",
1932
"10101100",
1933
"10000100",
1934
"00100110",
1935
"11101001",
1936
"11101111",
1937
"10000100",
1938
"00010000",
1939
"10001110",
1940
"11011111",
1941
"11010000",
1942
"00011111",
1943
"00010000",
1944
"01000100",
1945
"01000100",
1946
"01000100",
1947
"01000100",
1948
"00011111",
1949
"10001001",
1950
"10001000",
1951
"00001111",
1952
"10100111",
1953
"10100101",
1954
"00100000",
1955
"11010101",
1956
"10000110",
1957
"11110001",
1958
"00010000",
1959
"10001110",
1960
"11011111",
1961
"11010000",
1962
"10100111",
1963
"00101110",
1964
"10000110",
1965
"00001100",
1966
"11100110",
1967
"10100110",
1968
"00100110",
1969
"00000101",
1970
"01001010",
1971
"00101010",
1972
"11111001",
1973
"00100000",
1974
"00010100",
1975
"01101111",
1976
"10100110",
1977
"11100111",
1978
"00101100",
1979
"01001111",
1980
"00011111",
1981
"00100001",
1982
"11100110",
1983
"10100110",
1984
"00100111",
1985
"00000100",
1986
"01101111",
1987
"10100110",
1988
"11100111",
1989
"10000000",
1990
"01001100",
1991
"10000001",
1992
"00001100",
1993
"00101101",
1994
"11110011",
1995
"10001110",
1996
"11111111",
1997
"11110000",
1998
"11000110",
1999
"00010000",
2000
"10100110",
2001
"10100000",
2002
"10100111",
2003
"10000000",
2004
"01011010",
2005
"00100110",
2006
"11111001",
2007
"01010011",
2008
"11110111",
2009
"11011111",
2010
"11100010",
2011
"00010110",
2012
"11111000",
2013
"01100010",
2014
"01101110",
2015
"10011111",
2016
"11011111",
2017
"11000000",
2018
"01101110",
2019
"10011111",
2020
"11011111",
2021
"11000100",
2022
"01101110",
2023
"10011111",
2024
"11011111",
2025
"11000110",
2026
"01101110",
2027
"10011111",
2028
"11011111",
2029
"11001000",
2030
"01101110",
2031
"10011111",
2032
"11011111",
2033
"11001010",
2034
"00011111",
2035
"01000011",
2036
"10101110",
2037
"01001010",
2038
"11100110",
2039
"10000000",
2040
"10101111",
2041
"01001010",
2042
"01001111",
2043
"01011000",
2044
"01001001",
2045
"10111110",
2046
"11011111",
2047
"11001100",
2048
"10001100",
2049
"11111111",
2050
"11111111",
2051
"00100111",
2052
"00001111",
2053
"00110000",
2054
"10001011",
2055
"10111100",
2056
"11011111",
2057
"11001110",
2058
"00100010",
2059
"00001000",
2060
"00110100",
2061
"00010000",
2062
"11101100",
2063
"11000100",
2064
"10101110",
2065
"01000100",
2066
"01101110",
2067
"11110001",
2068
"00110111",
2069
"00011111",
2070
"11101110",
2071
"01000010",
2072
"01101110",
2073
"10011111",
2074
"11011111",
2075
"11000010",
2076
"11111111",
2077
"10110010",
2078
"11111111",
2079
"11000110",
2080
"11111111",
2081
"10110110",
2082
"11111111",
2083
"10111010",
2084
"11111111",
2085
"10111110",
2086
"11111111",
2087
"11000010",
2088
"11111111",
2089
"10110010",
2090
"11111111",
2091
"00000000"
2092
);
2093
begin
2094
   data <= rom_data(conv_integer(addr));
2095
end;
2096
 

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.