OpenCores
URL https://opencores.org/ocsvn/System09/System09/trunk

Subversion Repositories System09

[/] [System09/] [tags/] [V10/] [rtl/] [vhdl/] [system09.ucf] - Blame information for rev 66

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 2 dilbert57
#### UCF file created by Project Navigator
2
#
3
NET "reset_n"      LOC = "p57" ;
4
NET "sysclk"       LOC = "p77" ;
5
#
6
# For B5-Compact-Flash:
7
# Connector A
8
#
9
#NET "pin2"        LOC = "P3"  ; #J1-2
10
#NET "pin3"        LOC = "P4"  ; #J1-3
11
#NET "cf_intrq"    LOC = "P5"  ; #J1-4
12
NET "cf_wr_n"      LOC = "P6"  ; #J1-5
13
NET "cf_rd_n"      LOC = "P7"  ; #J1-6
14
NET "cf_cs1_n"     LOC = "P8"  ; #J1-7
15
NET "cf_d<15>"     LOC = "P9"  ; #J1-8
16
NET "cf_d<14>"     LOC = "P10" ; #J1-9
17
NET "cf_d<13>"     LOC = "P11" ; #J1-10
18
NET "cf_d<12>"     LOC = "P15" ; #J1-11
19
NET "cf_d<11>"     LOC = "P16" ; #J1-12
20
#NET "cf_present"  LOC = "P17" ; #J1-13
21
NET "cf_d<3>"      LOC = "P18" ; #J1-14
22
NET "cf_d<4>"      LOC = "P20" ; #J1-15
23
NET "cf_d<5>"      LOC = "P21" ; #J1-16
24
NET "cf_d<6>"      LOC = "P22" ; #J1-17
25
NET "cf_d<7>"      LOC = "P23" ; #J1-18
26
NET "cf_cs0_n"     LOC = "P24" ; #J1-19
27
#
28
# For B5-Compact-Flash:
29
# Connector B
30
#
31
NET "cf_a<2>"      LOC = "P33" ; #J2-6
32
NET "cf_a<1>"      LOC = "P34" ; #J2-7
33
NET "cf_a<0>"      LOC = "P35" ; #J2-8
34
NET "cf_d<0>"      LOC = "P36" ; #J2-9
35
NET "cf_d<1>"      LOC = "P40" ; #J2-10
36
NET "cf_d<2>"      LOC = "P41" ; #J2-11
37
NET "cf_cs16_n"    LOC = "P42" ; #J2-12
38
NET "cf_d<10>"     LOC = "P43" ; #J2-13
39
NET "cf_d<9>"      LOC = "P44" ; #J2-14
40
NET "cf_d<8>"      LOC = "P45" ; #J2-15
41
#NET "cf_pdiag"    LOC = "P46" ; #J2-16
42
#NET "cf_dase"     LOC = "P47" ; #J2-17
43
#NET "cf_iordy"    LOC = "P48" ; #J2-18
44
NET "cf_rst_n"     LOC = "P49" ; #J2-19
45
#
46
# I/O Port
47
# Connector C
48
#
49
#NET "porta<0>"       LOC = "p55" ; #pin 3
50
#NET "porta<1>"       LOC = "p56" ; #pin 4
51
#NET "porta<2>"       LOC = "p58" ; #pin 5
52
#NET "porta<3>"       LOC = "p59" ; #pin 6
53
#NET "porta<4>"       LOC = "p60" ; #pin 7
54
#NET "porta<5>"       LOC = "p61" ; #pin 8
55
#NET "porta<6>"       LOC = "p62" ; #pin 8
56
#NET "porta<7>"       LOC = "p63" ; #pin 10
57
#NET "portb<0>"       LOC = "p64" ; #pin 11
58
#NET "portb<1>"       LOC = "p68" ; #pin 12
59
#NET "portb<2>"       LOC = "p69" ; #pin 13
60
#NET "portb<3>"       LOC = "p70" ; #pin 14
61
#NET "portb<4>"       LOC = "p71" ; #pin 15
62
#NET "portb<5>"       LOC = "p73" ; #pin 16
63
#NET "portb<6>"       LOC = "p74" ; #pin 17
64
#NET "portb<7>"       LOC = "p75" ; #pin 18
65
#NET "timer_out"      LOC = "p81" ; #pin 19
66
#
67
# For B3-FPGA-CPU-IO
68
# Connector D
69
#
70
#NET "aux_clock"   LOC = "p80" ; #pin 2
71
#NET "buzzer"      LOC = "p82" ; #pin 3
72
NET "led"          LOC = "p82" ; #pin 3
73
#NET "mouse_clock" LOC = "p83" ; #pin 4
74
#NET "mouse_data"  LOC = "p84" ; #pin 5
75
NET "cts_n"        LOC = "p86" ; #pin 6
76
NET "rts_n"        LOC = "p87" ; #pin 7
77
NET "txbit"        LOC = "p88" ; #pin 8
78
NET "rxbit"        LOC = "p89" ; #pin 9
79
#NET "kb_clock"    LOC = "p93" ; #pin 10
80
#NET "kb_data"     LOC = "p94" ; #pin 11
81
#NET "v_drive"     LOC = "p95" ; #pin 12
82
#NET "h_drive"     LOC = "p96" ; #pin 13
83
#NET "blue_lo"     LOC = "p97" ; #pin 14
84
#NET "blue_hi"     LOC = "p98" ; #pin 15
85
#NET "green_lo"    LOC = "p99" ; #pin 16
86
#NET "green_hi"    LOC = "p100"; #pin 17
87
#NET "red_lo"      LOC = "p101"; #pin 18
88
#NET "red_hi"      LOC = "p102"; #pin 19
89
#
90
# For modified B3-SRAM
91
# Connector E
92
#
93
NET "ram_addr<0>"  LOC = "p108"; #J1.2
94
NET "ram_addr<1>"  LOC = "p109"; #J1.3
95
NET "ram_addr<2>"  LOC = "p110"; #J1.4
96
NET "ram_addr<3>"  LOC = "p111"; #J1.5
97
NET "ram_addr<4>"  LOC = "p112"; #J1.6
98
NET "ram_addr<5>"  LOC = "p113"; #J1.7
99
NET "ram_addr<6>"  LOC = "p114"; #J1.8
100
NET "ram_addr<7>"  LOC = "p115"; #J1.9
101
NET "ram_csn"      LOC = "p116"; #J1.10
102
NET "ram_addr<8>"  LOC = "p120"; #J1.11
103
NET "ram_addr<9>"  LOC = "p121"; #J1.12
104
NET "ram_addr<10>" LOC = "p122"; #J1.13
105
NET "ram_addr<11>" LOC = "p123"; #J1.14
106
NET "ram_addr<12>" LOC = "p125"; #J1.15
107
NET "ram_addr<13>" LOC = "p126"; #J1.16
108
NET "ram_addr<14>" LOC = "p127"; #J1.17
109
NET "ram_addr<15>" LOC = "p129"; #J1.18
110
NET "ram_addr<16>" LOC = "p132"; #J1.19
111
#
112
# For modified B3-SRAM
113
# Connector F
114
#
115
NET "ram_data<0>"  LOC = "p133"; #J2.2
116
NET "ram_data<1>"  LOC = "p134"; #J2.3
117
NET "ram_data<2>"  LOC = "p135"; #J2.4
118
NET "ram_data<3>"  LOC = "p136"; #J2.5
119
NET "ram_data<4>"  LOC = "p138"; #J2.6
120
NET "ram_data<5>"  LOC = "p139"; #J2.7
121
NET "ram_data<6>"  LOC = "p140"; #J2.8
122
NET "ram_data<7>"  LOC = "p141"; #J2.9
123
NET "ram_data<8>"  LOC = "p145"; #J2.10
124
NET "ram_data<9>"  LOC = "p146"; #J2.11
125
NET "ram_data<10>" LOC = "p147"; #J2.12
126
NET "ram_data<11>" LOC = "p148"; #J2.13
127
NET "ram_data<12>" LOC = "p149"; #J2.14
128
NET "ram_data<13>" LOC = "p150"; #J2.15
129
NET "ram_data<14>" LOC = "p151"; #J2.16
130
NET "ram_data<15>" LOC = "p152"; #J2.17
131
NET "ram_wrun"     LOC = "p153"; #J2.18
132
NET "ram_wrln"     LOC = "p154"; #J2.19
133
#
134
# Connector G
135
#
136
#NET "pin2"        LOC = "p182"; #pin 2 (clk input)
137
NET "test_alu<0>"  LOC = "p160"; #pin 3
138
NET "test_alu<1>"  LOC = "p161"; #pin 4
139
NET "test_alu<2>"  LOC = "p162"; #pin 5
140
NET "test_alu<3>"  LOC = "p163"; #pin 6
141
NET "test_alu<4>"  LOC = "p164"; #pin 7
142
NET "test_alu<5>"  LOC = "p165"; #pin 8
143
NET "test_alu<6>"  LOC = "p166"; #pin 9
144
NET "test_alu<7>"  LOC = "p167"; #pin 10
145
NET "test_alu<8>"  LOC = "p168"; #pin 11
146
NET "test_alu<9>"  LOC = "p169"; #pin 12
147
NET "test_alu<10>" LOC = "p173"; #pin 13
148
NET "test_alu<11>" LOC = "p174"; #pin 14
149
NET "test_alu<12>" LOC = "p175"; #pin 15
150
NET "test_alu<13>" LOC = "p176"; #pin 16
151
NET "test_alu<14>" LOC = "p178"; #pin 17
152
NET "test_alu<15>" LOC = "p179"; #pin 18
153
#NET "pin19"       LOC = "p180"; #pin 19
154
#
155
# Connector H
156
#
157
#NET "pin2"        LOC = "p185"; #pin 2 (clk input)
158
#NET "pin3"        LOC = "p181"; #pin 3
159
#NET "uart_csn"    LOC = "p187"; #pin 4
160
#NET "test_rw"     LOC = "p188"; #pin 5
161
#NET "test_d0"     LOC = "p189"; #pin 6
162
#NET "test_d1"     LOC = "p191"; #pin 7
163
#NET "pin8"        LOC = "p192"; #pin 8
164
#NET "pin9"        LOC = "p193"; #pin 9
165
#NET "pin10"       LOC = "p194"; #pin 10
166
NET "test_cc<0>"   LOC = "p198"; #pin 11
167
NET "test_cc<1>"   LOC = "p199"; #pin 12
168
NET "test_cc<2>"   LOC = "p200"; #pin 13
169
NET "test_cc<3>"   LOC = "p201"; #pin 14
170
NET "test_cc<4>"   LOC = "p202"; #pin 15
171
NET "test_cc<5>"   LOC = "p203"; #pin 16
172
NET "test_cc<6>"   LOC = "p204"; #pin 17
173
NET "test_cc<7>"   LOC = "p205"; #pin 18
174
#NET "pin19"       LOC = "p206"; #pin 19
175
#
176
# Timing Groups
177
#
178
INST "ram_addr<0>" TNM = "ram_addr";
179
INST "ram_addr<1>" TNM = "ram_addr";
180
INST "ram_addr<2>" TNM = "ram_addr";
181
INST "ram_addr<3>" TNM = "ram_addr";
182
INST "ram_addr<4>" TNM = "ram_addr";
183
INST "ram_addr<5>" TNM = "ram_addr";
184
INST "ram_addr<6>" TNM = "ram_addr";
185
INST "ram_addr<7>" TNM = "ram_addr";
186
INST "ram_addr<8>" TNM = "ram_addr";
187
INST "ram_addr<9>" TNM = "ram_addr";
188
INST "ram_addr<10>" TNM = "ram_addr";
189
INST "ram_addr<11>" TNM = "ram_addr";
190
INST "ram_addr<12>" TNM = "ram_addr";
191
INST "ram_addr<13>" TNM = "ram_addr";
192
INST "ram_addr<14>" TNM = "ram_addr";
193
INST "ram_addr<15>" TNM = "ram_addr";
194
INST "ram_addr<16>" TNM = "ram_addr";
195
INST "ram_data<0>" TNM = "ram_data";
196
INST "ram_data<1>" TNM = "ram_data";
197
INST "ram_data<2>" TNM = "ram_data";
198
INST "ram_data<3>" TNM = "ram_data";
199
INST "ram_data<4>" TNM = "ram_data";
200
INST "ram_data<5>" TNM = "ram_data";
201
INST "ram_data<6>" TNM = "ram_data";
202
INST "ram_data<7>" TNM = "ram_data";
203
INST "ram_data<8>" TNM = "ram_data";
204
INST "ram_data<9>" TNM = "ram_data";
205
INST "ram_data<10>" TNM = "ram_data";
206
INST "ram_data<11>" TNM = "ram_data";
207
INST "ram_data<12>" TNM = "ram_data";
208
INST "ram_data<13>" TNM = "ram_data";
209
INST "ram_data<14>" TNM = "ram_data";
210
INST "ram_data<15>" TNM = "ram_data";
211
INST "ram_wrln" TNM = "ram_wr";
212
INST "ram_wrun" TNM = "ram_wr";
213
INST "ram_csn" TNM = "ram_cs";
214
INST "test_alu<0>" TNM = "test_alu";
215
INST "test_alu<1>" TNM = "test_alu";
216
INST "test_alu<2>" TNM = "test_alu";
217
INST "test_alu<3>" TNM = "test_alu";
218
INST "test_alu<4>" TNM = "test_alu";
219
INST "test_alu<5>" TNM = "test_alu";
220
INST "test_alu<6>" TNM = "test_alu";
221
INST "test_alu<7>" TNM = "test_alu";
222
INST "test_alu<8>" TNM = "test_alu";
223
INST "test_alu<9>" TNM = "test_alu";
224
INST "test_alu<10>" TNM = "test_alu";
225
INST "test_alu<11>" TNM = "test_alu";
226
INST "test_alu<12>" TNM = "test_alu";
227
INST "test_alu<13>" TNM = "test_alu";
228
INST "test_alu<14>" TNM = "test_alu";
229
INST "test_alu<15>" TNM = "test_alu";
230
INST "test_cc<0>" TNM = "test_cc";
231
INST "test_cc<1>" TNM = "test_cc";
232
INST "test_cc<2>" TNM = "test_cc";
233
INST "test_cc<3>" TNM = "test_cc";
234
INST "test_cc<4>" TNM = "test_cc";
235
INST "test_cc<5>" TNM = "test_cc";
236
INST "test_cc<6>" TNM = "test_cc";
237
INST "test_cc<7>" TNM = "test_cc";
238
#
239
# Timing Constraints
240
#
241
TIMEGRP "ram_cs"   OFFSET = OUT 40 ns AFTER "sysclk";
242
TIMEGRP "ram_wr"   OFFSET = OUT 40 ns AFTER "sysclk";
243
TIMEGRP "ram_addr" OFFSET = OUT 40 ns AFTER "sysclk";
244
TIMEGRP "ram_data" OFFSET = OUT 40 ns AFTER "sysclk";
245
TIMEGRP "ram_data" OFFSET = IN 15 ns BEFORE "sysclk";
246
TIMEGRP "test_alu" OFFSET = OUT 90 ns AFTER "sysclk";
247
TIMEGRP "test_cc"  OFFSET = OUT 95 ns AFTER "sysclk";
248
NET "sysclk" TNM_NET = "sysclk";
249
TIMESPEC "TS_sysclk" = PERIOD "sysclk" 100 ns LOW 50 %;
250
#
251
# Fast I/O Pins
252
#
253
NET "ram_addr<0>" FAST;
254
NET "ram_addr<1>" FAST;
255
NET "ram_addr<2>" FAST;
256
NET "ram_addr<3>" FAST;
257
NET "ram_addr<4>" FAST;
258
NET "ram_addr<5>" FAST;
259
NET "ram_addr<6>" FAST;
260
NET "ram_addr<7>" FAST;
261
NET "ram_addr<8>" FAST;
262
NET "ram_addr<9>" FAST;
263
NET "ram_addr<10>" FAST;
264
NET "ram_addr<11>" FAST;
265
NET "ram_addr<12>" FAST;
266
NET "ram_addr<13>" FAST;
267
NET "ram_addr<14>" FAST;
268
NET "ram_addr<15>" FAST;
269
NET "ram_addr<16>" FAST;
270
NET "ram_csn" FAST;
271
NET "ram_data<0>" FAST;
272
NET "ram_data<1>" FAST;
273
NET "ram_data<2>" FAST;
274
NET "ram_data<3>" FAST;
275
NET "ram_data<4>" FAST;
276
NET "ram_data<5>" FAST;
277
NET "ram_data<6>" FAST;
278
NET "ram_data<7>" FAST;
279
NET "ram_data<8>" FAST;
280
NET "ram_data<9>" FAST;
281
NET "ram_data<10>" FAST;
282
NET "ram_data<11>" FAST;
283
NET "ram_data<12>" FAST;
284
NET "ram_data<13>" FAST;
285
NET "ram_data<14>" FAST;
286
NET "ram_data<15>" FAST;
287
NET "ram_wrln" FAST;
288
NET "ram_wrun" FAST;

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.