OpenCores
URL https://opencores.org/ocsvn/System09/System09/trunk
WIDTH=8; DEPTH=2048; ADDRESS_RADIX=UNS; DATA_RADIX=HEX; CONTENT BEGIN 0 : 00; 1 : 44; 2 : 64; 3 : 54; 4 : 4C; 5 : 44; 6 : 00; 7 : 04; 8 : 04; 9 : 04; 10 : 04; 11 : 07; 12 : 00; 13 : 00; 14 : 00; 15 : 00; 16 : 00; 17 : 38; 18 : 40; 19 : 38; 20 : 04; 21 : 38; 22 : 00; 23 : 09; 24 : 09; 25 : 0F; 26 : 09; 27 : 09; 28 : 00; 29 : 00; 30 : 00; 31 : 00; 32 : 00; 33 : 38; 34 : 40; 35 : 38; 36 : 04; 37 : 38; 38 : 00; 39 : 11; 40 : 0A; 41 : 04; 42 : 0A; 43 : 11; 44 : 00; 45 : 00; 46 : 00; 47 : 00; 48 : 00; 49 : 78; 50 : 40; 51 : 70; 52 : 40; 53 : 78; 54 : 00; 55 : 11; 56 : 0A; 57 : 04; 58 : 0A; 59 : 11; 60 : 00; 61 : 00; 62 : 00; 63 : 00; 64 : 00; 65 : 78; 66 : 40; 67 : 70; 68 : 40; 69 : 78; 70 : 00; 71 : 1F; 72 : 04; 73 : 04; 74 : 04; 75 : 04; 76 : 00; 77 : 00; 78 : 00; 79 : 00; 80 : 00; 81 : 78; 82 : 40; 83 : 70; 84 : 40; 85 : 78; 86 : 00; 87 : 0E; 88 : 11; 89 : 15; 90 : 12; 91 : 0D; 92 : 00; 93 : 00; 94 : 00; 95 : 00; 96 : 00; 97 : 30; 98 : 48; 99 : 48; 100 : 78; 101 : 48; 102 : 00; 103 : 09; 104 : 0A; 105 : 0C; 106 : 0A; 107 : 09; 108 : 00; 109 : 00; 110 : 00; 111 : 00; 112 : 00; 113 : 70; 114 : 48; 115 : 70; 116 : 48; 117 : 70; 118 : 00; 119 : 08; 120 : 08; 121 : 08; 122 : 08; 123 : 0F; 124 : 00; 125 : 00; 126 : 00; 127 : 00; 128 : 00; 129 : 70; 130 : 48; 131 : 70; 132 : 48; 133 : 70; 134 : 00; 135 : 0E; 136 : 10; 137 : 0E; 138 : 01; 139 : 0E; 140 : 00; 141 : 00; 142 : 00; 143 : 00; 144 : 00; 145 : 44; 146 : 44; 147 : 7C; 148 : 44; 149 : 44; 150 : 00; 151 : 1F; 152 : 04; 153 : 04; 154 : 04; 155 : 04; 156 : 00; 157 : 00; 158 : 00; 159 : 00; 160 : 00; 161 : 40; 162 : 40; 163 : 40; 164 : 40; 165 : 7C; 166 : 00; 167 : 1F; 168 : 10; 169 : 1E; 170 : 10; 171 : 10; 172 : 00; 173 : 00; 174 : 00; 175 : 00; 176 : 00; 177 : 44; 178 : 44; 179 : 44; 180 : 28; 181 : 10; 182 : 00; 183 : 1F; 184 : 04; 185 : 04; 186 : 04; 187 : 04; 188 : 00; 189 : 00; 190 : 00; 191 : 00; 192 : 00; 193 : 78; 194 : 40; 195 : 70; 196 : 40; 197 : 40; 198 : 00; 199 : 0F; 200 : 08; 201 : 0E; 202 : 08; 203 : 08; 204 : 00; 205 : 00; 206 : 00; 207 : 00; 208 : 00; 209 : 3C; 210 : 40; 211 : 40; 212 : 40; 213 : 3C; 214 : 00; 215 : 1E; 216 : 11; 217 : 1E; 218 : 11; 219 : 11; 220 : 00; 221 : 00; 222 : 00; 223 : 00; 224 : 00; 225 : 38; 226 : 40; 227 : 38; 228 : 04; 229 : 38; 230 : 00; 231 : 0E; 232 : 11; 233 : 11; 234 : 11; 235 : 0E; 236 : 00; 237 : 00; 238 : 00; 239 : 00; 240 : 00; 241 : 38; 242 : 40; 243 : 38; 244 : 04; 245 : 38; 246 : 00; 247 : 07; 248 : 02; 249 : 02; 250 : 02; 251 : 07; 252 : 00; 253 : 00; 254 : 00; 255 : 00; 256 : 00; 257 : 70; 258 : 48; 259 : 48; 260 : 48; 261 : 70; 262 : 00; 263 : 0F; 264 : 08; 265 : 0E; 266 : 08; 267 : 0F; 268 : 00; 269 : 00; 270 : 00; 271 : 00; 272 : 00; 273 : 70; 274 : 48; 275 : 48; 276 : 48; 277 : 70; 278 : 00; 279 : 02; 280 : 06; 281 : 02; 282 : 02; 283 : 07; 284 : 00; 285 : 00; 286 : 00; 287 : 00; 288 : 00; 289 : 70; 290 : 48; 291 : 48; 292 : 48; 293 : 70; 294 : 00; 295 : 07; 296 : 09; 297 : 06; 298 : 08; 299 : 0F; 300 : 00; 301 : 00; 302 : 00; 303 : 00; 304 : 00; 305 : 70; 306 : 48; 307 : 48; 308 : 48; 309 : 70; 310 : 00; 311 : 0F; 312 : 02; 313 : 07; 314 : 01; 315 : 0E; 316 : 00; 317 : 00; 318 : 00; 319 : 00; 320 : 00; 321 : 70; 322 : 48; 323 : 48; 324 : 48; 325 : 70; 326 : 00; 327 : 09; 328 : 09; 329 : 0F; 330 : 01; 331 : 01; 332 : 00; 333 : 00; 334 : 00; 335 : 00; 336 : 00; 337 : 44; 338 : 64; 339 : 54; 340 : 4C; 341 : 44; 342 : 00; 343 : 09; 344 : 0A; 345 : 0C; 346 : 0A; 347 : 09; 348 : 00; 349 : 00; 350 : 00; 351 : 00; 352 : 00; 353 : 38; 354 : 40; 355 : 38; 356 : 04; 357 : 38; 358 : 00; 359 : 11; 360 : 19; 361 : 15; 362 : 13; 363 : 11; 364 : 00; 365 : 00; 366 : 00; 367 : 00; 368 : 00; 369 : 78; 370 : 40; 371 : 70; 372 : 40; 373 : 78; 374 : 00; 375 : 0E; 376 : 09; 377 : 0E; 378 : 09; 379 : 0E; 380 : 00; 381 : 00; 382 : 00; 383 : 00; 384 : 00; 385 : 38; 386 : 40; 387 : 40; 388 : 40; 389 : 38; 390 : 00; 391 : 11; 392 : 19; 393 : 15; 394 : 13; 395 : 11; 396 : 00; 397 : 00; 398 : 00; 399 : 00; 400 : 00; 401 : 78; 402 : 40; 403 : 70; 404 : 40; 405 : 78; 406 : 00; 407 : 11; 408 : 1B; 409 : 15; 410 : 11; 411 : 11; 412 : 00; 413 : 00; 414 : 00; 415 : 00; 416 : 00; 417 : 38; 418 : 40; 419 : 38; 420 : 04; 421 : 38; 422 : 00; 423 : 0E; 424 : 09; 425 : 0E; 426 : 09; 427 : 0E; 428 : 00; 429 : 00; 430 : 00; 431 : 00; 432 : 00; 433 : 78; 434 : 40; 435 : 70; 436 : 40; 437 : 78; 438 : 00; 439 : 0E; 440 : 10; 441 : 10; 442 : 10; 443 : 0E; 444 : 00; 445 : 00; 446 : 00; 447 : 00; 448 : 00; 449 : 78; 450 : 40; 451 : 70; 452 : 40; 453 : 40; 454 : 00; 455 : 0E; 456 : 10; 457 : 0E; 458 : 01; 459 : 0E; 460 : 00; 461 : 00; 462 : 00; 463 : 00; 464 : 00; 465 : 38; 466 : 40; 467 : 58; 468 : 48; 469 : 38; 470 : 00; 471 : 0E; 472 : 10; 473 : 0E; 474 : 01; 475 : 0E; 476 : 00; 477 : 00; 478 : 00; 479 : 00; 480 : 00; 481 : 70; 482 : 48; 483 : 70; 484 : 50; 485 : 48; 486 : 00; 487 : 0E; 488 : 10; 489 : 0E; 490 : 01; 491 : 0E; 492 : 00; 493 : 00; 494 : 00; 495 : 00; 496 : 00; 497 : 48; 498 : 48; 499 : 48; 500 : 48; 501 : 30; 502 : 00; 503 : 0E; 504 : 10; 505 : 0E; 506 : 01; 507 : 0E; 508 : 00; 509 : 00; 510 : 00; 511 : 00; 512 : 00; 513 : 00; 514 : 00; 515 : 00; 516 : 00; 517 : 00; 518 : 00; 519 : 00; 520 : 00; 521 : 00; 522 : 00; 523 : 00; 524 : 00; 525 : 00; 526 : 00; 527 : 00; 528 : 00; 529 : 08; 530 : 08; 531 : 08; 532 : 08; 533 : 08; 534 : 08; 535 : 08; 536 : 00; 537 : 00; 538 : 08; 539 : 08; 540 : 00; 541 : 00; 542 : 00; 543 : 00; 544 : 00; 545 : 12; 546 : 12; 547 : 12; 548 : 12; 549 : 00; 550 : 00; 551 : 00; 552 : 00; 553 : 00; 554 : 00; 555 : 00; 556 : 00; 557 : 00; 558 : 00; 559 : 00; 560 : 00; 561 : 24; 562 : 24; 563 : 24; 564 : 7E; 565 : 24; 566 : 24; 567 : 24; 568 : 7E; 569 : 24; 570 : 24; 571 : 24; 572 : 00; 573 : 00; 574 : 00; 575 : 00; 576 : 00; 577 : 08; 578 : 3E; 579 : 49; 580 : 48; 581 : 48; 582 : 3E; 583 : 09; 584 : 09; 585 : 49; 586 : 3E; 587 : 08; 588 : 00; 589 : 00; 590 : 00; 591 : 00; 592 : 00; 593 : 61; 594 : 61; 595 : 01; 596 : 02; 597 : 04; 598 : 08; 599 : 10; 600 : 20; 601 : 40; 602 : 43; 603 : 43; 604 : 00; 605 : 00; 606 : 00; 607 : 00; 608 : 00; 609 : 38; 610 : 44; 611 : 44; 612 : 44; 613 : 44; 614 : 38; 615 : 44; 616 : 44; 617 : 44; 618 : 42; 619 : 3D; 620 : 00; 621 : 00; 622 : 00; 623 : 00; 624 : 00; 625 : 0C; 626 : 08; 627 : 10; 628 : 20; 629 : 00; 630 : 00; 631 : 00; 632 : 00; 633 : 00; 634 : 00; 635 : 00; 636 : 00; 637 : 00; 638 : 00; 639 : 00; 640 : 00; 641 : 02; 642 : 04; 643 : 08; 644 : 10; 645 : 10; 646 : 10; 647 : 10; 648 : 10; 649 : 08; 650 : 04; 651 : 02; 652 : 00; 653 : 00; 654 : 00; 655 : 00; 656 : 00; 657 : 20; 658 : 10; 659 : 08; 660 : 04; 661 : 04; 662 : 04; 663 : 04; 664 : 04; 665 : 08; 666 : 10; 667 : 20; 668 : 00; 669 : 00; 670 : 00; 671 : 00; 672 : 00; 673 : 00; 674 : 00; 675 : 41; 676 : 22; 677 : 14; 678 : 7F; 679 : 14; 680 : 22; 681 : 41; 682 : 00; 683 : 00; 684 : 00; 685 : 00; 686 : 00; 687 : 00; 688 : 00; 689 : 00; 690 : 00; 691 : 08; 692 : 08; 693 : 08; 694 : 7F; 695 : 08; 696 : 08; 697 : 08; 698 : 00; 699 : 00; 700 : 00; 701 : 00; 702 : 00; 703 : 00; 704 : 00; 705 : 00; 706 : 00; 707 : 00; 708 : 00; 709 : 00; 710 : 00; 711 : 00; 712 : 00; 713 : 00; 714 : 18; 715 : 18; 716 : 10; 717 : 20; 718 : 40; 719 : 00; 720 : 00; 721 : 00; 722 : 00; 723 : 00; 724 : 00; 725 : 00; 726 : 7F; 727 : 00; 728 : 00; 729 : 00; 730 : 00; 731 : 00; 732 : 00; 733 : 00; 734 : 00; 735 : 00; 736 : 00; 737 : 00; 738 : 00; 739 : 00; 740 : 00; 741 : 00; 742 : 00; 743 : 00; 744 : 00; 745 : 00; 746 : 18; 747 : 18; 748 : 00; 749 : 00; 750 : 00; 751 : 00; 752 : 00; 753 : 01; 754 : 01; 755 : 01; 756 : 02; 757 : 04; 758 : 08; 759 : 10; 760 : 20; 761 : 40; 762 : 40; 763 : 40; 764 : 00; 765 : 00; 766 : 00; 767 : 00; 768 : 00; 769 : 08; 770 : 14; 771 : 22; 772 : 41; 773 : 41; 774 : 41; 775 : 41; 776 : 41; 777 : 22; 778 : 14; 779 : 08; 780 : 00; 781 : 00; 782 : 00; 783 : 00; 784 : 00; 785 : 08; 786 : 18; 787 : 28; 788 : 08; 789 : 08; 790 : 08; 791 : 08; 792 : 08; 793 : 08; 794 : 08; 795 : 3E; 796 : 00; 797 : 00; 798 : 00; 799 : 00; 800 : 00; 801 : 3C; 802 : 42; 803 : 41; 804 : 01; 805 : 02; 806 : 04; 807 : 08; 808 : 10; 809 : 20; 810 : 40; 811 : 7F; 812 : 00; 813 : 00; 814 : 00; 815 : 00; 816 : 00; 817 : 3E; 818 : 41; 819 : 01; 820 : 01; 821 : 01; 822 : 0E; 823 : 01; 824 : 01; 825 : 01; 826 : 41; 827 : 3E; 828 : 00; 829 : 00; 830 : 00; 831 : 00; 832 : 00; 833 : 02; 834 : 06; 835 : 0A; 836 : 12; 837 : 22; 838 : 7F; 839 : 02; 840 : 02; 841 : 02; 842 : 02; 843 : 02; 844 : 00; 845 : 00; 846 : 00; 847 : 00; 848 : 00; 849 : 7F; 850 : 40; 851 : 40; 852 : 40; 853 : 40; 854 : 5E; 855 : 61; 856 : 01; 857 : 01; 858 : 41; 859 : 3E; 860 : 00; 861 : 00; 862 : 00; 863 : 00; 864 : 00; 865 : 1E; 866 : 21; 867 : 40; 868 : 40; 869 : 40; 870 : 5E; 871 : 61; 872 : 41; 873 : 41; 874 : 21; 875 : 1E; 876 : 00; 877 : 00; 878 : 00; 879 : 00; 880 : 00; 881 : 7F; 882 : 01; 883 : 01; 884 : 01; 885 : 02; 886 : 04; 887 : 08; 888 : 10; 889 : 20; 890 : 40; 891 : 40; 892 : 00; 893 : 00; 894 : 00; 895 : 00; 896 : 00; 897 : 3E; 898 : 41; 899 : 41; 900 : 41; 901 : 41; 902 : 3E; 903 : 41; 904 : 41; 905 : 41; 906 : 41; 907 : 3E; 908 : 00; 909 : 00; 910 : 00; 911 : 00; 912 : 00; 913 : 3C; 914 : 42; 915 : 41; 916 : 41; 917 : 43; 918 : 3D; 919 : 01; 920 : 01; 921 : 01; 922 : 42; 923 : 3C; 924 : 00; 925 : 00; 926 : 00; 927 : 00; 928 : 00; 929 : 00; 930 : 18; 931 : 18; 932 : 18; 933 : 00; 934 : 00; 935 : 00; 936 : 18; 937 : 18; 938 : 18; 939 : 00; 940 : 00; 941 : 00; 942 : 00; 943 : 00; 944 : 00; 945 : 00; 946 : 18; 947 : 18; 948 : 18; 949 : 00; 950 : 00; 951 : 00; 952 : 18; 953 : 18; 954 : 18; 955 : 10; 956 : 20; 957 : 40; 958 : 00; 959 : 00; 960 : 00; 961 : 01; 962 : 02; 963 : 04; 964 : 08; 965 : 10; 966 : 20; 967 : 10; 968 : 08; 969 : 04; 970 : 02; 971 : 01; 972 : 00; 973 : 00; 974 : 00; 975 : 00; 976 : 00; 977 : 00; 978 : 00; 979 : 00; 980 : 7F; 981 : 00; 982 : 00; 983 : 7F; 984 : 00; 985 : 00; 986 : 00; 987 : 00; 988 : 00; 989 : 00; 990 : 00; 991 : 00; 992 : 00; 993 : 40; 994 : 20; 995 : 10; 996 : 08; 997 : 04; 998 : 02; 999 : 04; 1000 : 08; 1001 : 10; 1002 : 20; 1003 : 40; 1004 : 00; 1005 : 00; 1006 : 00; 1007 : 00; 1008 : 00; 1009 : 3E; 1010 : 41; 1011 : 01; 1012 : 01; 1013 : 06; 1014 : 08; 1015 : 08; 1016 : 08; 1017 : 00; 1018 : 08; 1019 : 08; 1020 : 00; 1021 : 00; 1022 : 00; 1023 : 00; 1024 : 00; 1025 : 1C; 1026 : 22; 1027 : 41; 1028 : 45; 1029 : 49; 1030 : 4E; 1031 : 40; 1032 : 40; 1033 : 41; 1034 : 22; 1035 : 1C; 1036 : 00; 1037 : 00; 1038 : 00; 1039 : 00; 1040 : 00; 1041 : 08; 1042 : 14; 1043 : 22; 1044 : 41; 1045 : 41; 1046 : 7F; 1047 : 41; 1048 : 41; 1049 : 41; 1050 : 41; 1051 : 41; 1052 : 00; 1053 : 00; 1054 : 00; 1055 : 00; 1056 : 00; 1057 : 7E; 1058 : 21; 1059 : 21; 1060 : 21; 1061 : 21; 1062 : 3E; 1063 : 21; 1064 : 21; 1065 : 21; 1066 : 21; 1067 : 7E; 1068 : 00; 1069 : 00; 1070 : 00; 1071 : 00; 1072 : 00; 1073 : 1E; 1074 : 21; 1075 : 41; 1076 : 40; 1077 : 40; 1078 : 40; 1079 : 40; 1080 : 40; 1081 : 41; 1082 : 21; 1083 : 1E; 1084 : 00; 1085 : 00; 1086 : 00; 1087 : 00; 1088 : 00; 1089 : 7C; 1090 : 22; 1091 : 21; 1092 : 21; 1093 : 21; 1094 : 21; 1095 : 21; 1096 : 21; 1097 : 21; 1098 : 22; 1099 : 7C; 1100 : 00; 1101 : 00; 1102 : 00; 1103 : 00; 1104 : 00; 1105 : 7F; 1106 : 40; 1107 : 40; 1108 : 40; 1109 : 40; 1110 : 7C; 1111 : 40; 1112 : 40; 1113 : 40; 1114 : 40; 1115 : 7F; 1116 : 00; 1117 : 00; 1118 : 00; 1119 : 00; 1120 : 00; 1121 : 7F; 1122 : 40; 1123 : 40; 1124 : 40; 1125 : 40; 1126 : 7C; 1127 : 40; 1128 : 40; 1129 : 40; 1130 : 40; 1131 : 40; 1132 : 00; 1133 : 00; 1134 : 00; 1135 : 00; 1136 : 00; 1137 : 1E; 1138 : 21; 1139 : 40; 1140 : 40; 1141 : 40; 1142 : 47; 1143 : 41; 1144 : 41; 1145 : 41; 1146 : 21; 1147 : 1E; 1148 : 00; 1149 : 00; 1150 : 00; 1151 : 00; 1152 : 00; 1153 : 41; 1154 : 41; 1155 : 41; 1156 : 41; 1157 : 41; 1158 : 7F; 1159 : 41; 1160 : 41; 1161 : 41; 1162 : 41; 1163 : 41; 1164 : 00; 1165 : 00; 1166 : 00; 1167 : 00; 1168 : 00; 1169 : 3E; 1170 : 08; 1171 : 08; 1172 : 08; 1173 : 08; 1174 : 08; 1175 : 08; 1176 : 08; 1177 : 08; 1178 : 08; 1179 : 3E; 1180 : 00; 1181 : 00; 1182 : 00; 1183 : 00; 1184 : 00; 1185 : 07; 1186 : 02; 1187 : 02; 1188 : 02; 1189 : 02; 1190 : 02; 1191 : 02; 1192 : 02; 1193 : 02; 1194 : 42; 1195 : 3C; 1196 : 00; 1197 : 00; 1198 : 00; 1199 : 00; 1200 : 00; 1201 : 41; 1202 : 42; 1203 : 44; 1204 : 48; 1205 : 50; 1206 : 60; 1207 : 50; 1208 : 48; 1209 : 44; 1210 : 42; 1211 : 41; 1212 : 00; 1213 : 00; 1214 : 00; 1215 : 00; 1216 : 00; 1217 : 40; 1218 : 40; 1219 : 40; 1220 : 40; 1221 : 40; 1222 : 40; 1223 : 40; 1224 : 40; 1225 : 40; 1226 : 40; 1227 : 7F; 1228 : 00; 1229 : 00; 1230 : 00; 1231 : 00; 1232 : 00; 1233 : 41; 1234 : 63; 1235 : 55; 1236 : 49; 1237 : 49; 1238 : 41; 1239 : 41; 1240 : 41; 1241 : 41; 1242 : 41; 1243 : 41; 1244 : 00; 1245 : 00; 1246 : 00; 1247 : 00; 1248 : 00; 1249 : 41; 1250 : 41; 1251 : 61; 1252 : 51; 1253 : 49; 1254 : 49; 1255 : 45; 1256 : 43; 1257 : 41; 1258 : 41; 1259 : 41; 1260 : 00; 1261 : 00; 1262 : 00; 1263 : 00; 1264 : 00; 1265 : 3E; 1266 : 41; 1267 : 41; 1268 : 41; 1269 : 41; 1270 : 41; 1271 : 41; 1272 : 41; 1273 : 41; 1274 : 41; 1275 : 3E; 1276 : 00; 1277 : 00; 1278 : 00; 1279 : 00; 1280 : 00; 1281 : 7E; 1282 : 41; 1283 : 41; 1284 : 41; 1285 : 41; 1286 : 7E; 1287 : 40; 1288 : 40; 1289 : 40; 1290 : 40; 1291 : 40; 1292 : 00; 1293 : 00; 1294 : 00; 1295 : 00; 1296 : 00; 1297 : 3E; 1298 : 41; 1299 : 41; 1300 : 41; 1301 : 41; 1302 : 41; 1303 : 41; 1304 : 49; 1305 : 45; 1306 : 42; 1307 : 3D; 1308 : 00; 1309 : 00; 1310 : 00; 1311 : 00; 1312 : 00; 1313 : 7E; 1314 : 41; 1315 : 41; 1316 : 41; 1317 : 41; 1318 : 7E; 1319 : 50; 1320 : 48; 1321 : 44; 1322 : 42; 1323 : 41; 1324 : 00; 1325 : 00; 1326 : 00; 1327 : 00; 1328 : 00; 1329 : 3E; 1330 : 41; 1331 : 40; 1332 : 40; 1333 : 40; 1334 : 3E; 1335 : 01; 1336 : 01; 1337 : 01; 1338 : 41; 1339 : 3E; 1340 : 00; 1341 : 00; 1342 : 00; 1343 : 00; 1344 : 00; 1345 : 7F; 1346 : 08; 1347 : 08; 1348 : 08; 1349 : 08; 1350 : 08; 1351 : 08; 1352 : 08; 1353 : 08; 1354 : 08; 1355 : 08; 1356 : 00; 1357 : 00; 1358 : 00; 1359 : 00; 1360 : 00; 1361 : 41; 1362 : 41; 1363 : 41; 1364 : 41; 1365 : 41; 1366 : 41; 1367 : 41; 1368 : 41; 1369 : 41; 1370 : 41; 1371 : 3E; 1372 : 00; 1373 : 00; 1374 : 00; 1375 : 00; 1376 : 00; 1377 : 41; 1378 : 41; 1379 : 41; 1380 : 22; 1381 : 22; 1382 : 22; 1383 : 14; 1384 : 14; 1385 : 14; 1386 : 08; 1387 : 08; 1388 : 00; 1389 : 00; 1390 : 00; 1391 : 00; 1392 : 00; 1393 : 41; 1394 : 41; 1395 : 41; 1396 : 41; 1397 : 41; 1398 : 49; 1399 : 49; 1400 : 55; 1401 : 55; 1402 : 22; 1403 : 22; 1404 : 00; 1405 : 00; 1406 : 00; 1407 : 00; 1408 : 00; 1409 : 41; 1410 : 41; 1411 : 41; 1412 : 22; 1413 : 14; 1414 : 08; 1415 : 14; 1416 : 22; 1417 : 41; 1418 : 41; 1419 : 41; 1420 : 00; 1421 : 00; 1422 : 00; 1423 : 00; 1424 : 00; 1425 : 41; 1426 : 41; 1427 : 41; 1428 : 22; 1429 : 14; 1430 : 08; 1431 : 08; 1432 : 08; 1433 : 08; 1434 : 08; 1435 : 08; 1436 : 00; 1437 : 00; 1438 : 00; 1439 : 00; 1440 : 00; 1441 : 7F; 1442 : 01; 1443 : 01; 1444 : 02; 1445 : 04; 1446 : 08; 1447 : 10; 1448 : 20; 1449 : 40; 1450 : 40; 1451 : 7F; 1452 : 00; 1453 : 00; 1454 : 00; 1455 : 00; 1456 : 00; 1457 : 1E; 1458 : 10; 1459 : 10; 1460 : 10; 1461 : 10; 1462 : 10; 1463 : 10; 1464 : 10; 1465 : 10; 1466 : 10; 1467 : 1E; 1468 : 00; 1469 : 00; 1470 : 00; 1471 : 00; 1472 : 00; 1473 : 40; 1474 : 40; 1475 : 40; 1476 : 20; 1477 : 10; 1478 : 08; 1479 : 04; 1480 : 02; 1481 : 01; 1482 : 01; 1483 : 01; 1484 : 00; 1485 : 00; 1486 : 00; 1487 : 00; 1488 : 00; 1489 : 3C; 1490 : 04; 1491 : 04; 1492 : 04; 1493 : 04; 1494 : 04; 1495 : 04; 1496 : 04; 1497 : 04; 1498 : 04; 1499 : 3C; 1500 : 00; 1501 : 00; 1502 : 00; 1503 : 00; 1504 : 00; 1505 : 08; 1506 : 14; 1507 : 22; 1508 : 41; 1509 : 00; 1510 : 00; 1511 : 00; 1512 : 00; 1513 : 00; 1514 : 00; 1515 : 00; 1516 : 00; 1517 : 00; 1518 : 00; 1519 : 00; 1520 : 00; 1521 : 00; 1522 : 00; 1523 : 00; 1524 : 00; 1525 : 00; 1526 : 00; 1527 : 00; 1528 : 00; 1529 : 00; 1530 : 00; 1531 : 7F; 1532 : 00; 1533 : 00; 1534 : 00; 1535 : 00; 1536 : 00; 1537 : 18; 1538 : 08; 1539 : 04; 1540 : 02; 1541 : 00; 1542 : 00; 1543 : 00; 1544 : 00; 1545 : 00; 1546 : 00; 1547 : 00; 1548 : 00; 1549 : 00; 1550 : 00; 1551 : 00; 1552 : 00; 1553 : 00; 1554 : 00; 1555 : 00; 1556 : 00; 1557 : 3E; 1558 : 01; 1559 : 01; 1560 : 3F; 1561 : 41; 1562 : 41; 1563 : 3F; 1564 : 00; 1565 : 00; 1566 : 00; 1567 : 00; 1568 : 00; 1569 : 40; 1570 : 40; 1571 : 40; 1572 : 40; 1573 : 5E; 1574 : 61; 1575 : 41; 1576 : 61; 1577 : 61; 1578 : 61; 1579 : 5E; 1580 : 00; 1581 : 00; 1582 : 00; 1583 : 00; 1584 : 00; 1585 : 00; 1586 : 00; 1587 : 00; 1588 : 00; 1589 : 1E; 1590 : 21; 1591 : 40; 1592 : 40; 1593 : 40; 1594 : 21; 1595 : 1E; 1596 : 00; 1597 : 00; 1598 : 00; 1599 : 00; 1600 : 00; 1601 : 01; 1602 : 01; 1603 : 01; 1604 : 01; 1605 : 3D; 1606 : 43; 1607 : 41; 1608 : 41; 1609 : 41; 1610 : 43; 1611 : 3D; 1612 : 00; 1613 : 00; 1614 : 00; 1615 : 00; 1616 : 00; 1617 : 00; 1618 : 00; 1619 : 00; 1620 : 00; 1621 : 3E; 1622 : 41; 1623 : 41; 1624 : 7F; 1625 : 40; 1626 : 40; 1627 : 3E; 1628 : 00; 1629 : 00; 1630 : 00; 1631 : 00; 1632 : 00; 1633 : 0E; 1634 : 11; 1635 : 10; 1636 : 10; 1637 : 7C; 1638 : 10; 1639 : 10; 1640 : 10; 1641 : 10; 1642 : 10; 1643 : 10; 1644 : 00; 1645 : 00; 1646 : 00; 1647 : 00; 1648 : 00; 1649 : 00; 1650 : 00; 1651 : 00; 1652 : 01; 1653 : 3D; 1654 : 42; 1655 : 42; 1656 : 42; 1657 : 42; 1658 : 3E; 1659 : 02; 1660 : 02; 1661 : 42; 1662 : 3C; 1663 : 00; 1664 : 00; 1665 : 40; 1666 : 40; 1667 : 40; 1668 : 40; 1669 : 5E; 1670 : 61; 1671 : 41; 1672 : 41; 1673 : 41; 1674 : 41; 1675 : 41; 1676 : 00; 1677 : 00; 1678 : 00; 1679 : 00; 1680 : 00; 1681 : 08; 1682 : 08; 1683 : 00; 1684 : 00; 1685 : 18; 1686 : 08; 1687 : 08; 1688 : 08; 1689 : 08; 1690 : 08; 1691 : 3E; 1692 : 00; 1693 : 00; 1694 : 00; 1695 : 00; 1696 : 00; 1697 : 02; 1698 : 02; 1699 : 00; 1700 : 00; 1701 : 02; 1702 : 02; 1703 : 02; 1704 : 02; 1705 : 02; 1706 : 02; 1707 : 02; 1708 : 02; 1709 : 42; 1710 : 3C; 1711 : 00; 1712 : 00; 1713 : 40; 1714 : 40; 1715 : 40; 1716 : 40; 1717 : 41; 1718 : 44; 1719 : 48; 1720 : 70; 1721 : 48; 1722 : 44; 1723 : 41; 1724 : 00; 1725 : 00; 1726 : 00; 1727 : 00; 1728 : 00; 1729 : 18; 1730 : 08; 1731 : 08; 1732 : 08; 1733 : 08; 1734 : 08; 1735 : 08; 1736 : 08; 1737 : 08; 1738 : 08; 1739 : 1C; 1740 : 00; 1741 : 00; 1742 : 00; 1743 : 00; 1744 : 00; 1745 : 00; 1746 : 00; 1747 : 00; 1748 : 00; 1749 : 22; 1750 : 55; 1751 : 49; 1752 : 49; 1753 : 41; 1754 : 41; 1755 : 41; 1756 : 00; 1757 : 00; 1758 : 00; 1759 : 00; 1760 : 00; 1761 : 00; 1762 : 00; 1763 : 00; 1764 : 00; 1765 : 5E; 1766 : 61; 1767 : 41; 1768 : 41; 1769 : 41; 1770 : 41; 1771 : 41; 1772 : 00; 1773 : 00; 1774 : 00; 1775 : 00; 1776 : 00; 1777 : 00; 1778 : 00; 1779 : 00; 1780 : 00; 1781 : 3E; 1782 : 41; 1783 : 41; 1784 : 41; 1785 : 41; 1786 : 41; 1787 : 3E; 1788 : 00; 1789 : 00; 1790 : 00; 1791 : 00; 1792 : 00; 1793 : 00; 1794 : 00; 1795 : 00; 1796 : 00; 1797 : 5E; 1798 : 61; 1799 : 61; 1800 : 61; 1801 : 61; 1802 : 5E; 1803 : 40; 1804 : 40; 1805 : 40; 1806 : 40; 1807 : 00; 1808 : 00; 1809 : 00; 1810 : 00; 1811 : 00; 1812 : 00; 1813 : 3D; 1814 : 43; 1815 : 43; 1816 : 43; 1817 : 43; 1818 : 3D; 1819 : 01; 1820 : 01; 1821 : 01; 1822 : 01; 1823 : 00; 1824 : 00; 1825 : 00; 1826 : 00; 1827 : 00; 1828 : 00; 1829 : 4E; 1830 : 31; 1831 : 20; 1832 : 20; 1833 : 20; 1834 : 20; 1835 : 20; 1836 : 00; 1837 : 00; 1838 : 00; 1839 : 00; 1840 : 00; 1841 : 00; 1842 : 00; 1843 : 00; 1844 : 00; 1845 : 3E; 1846 : 40; 1847 : 40; 1848 : 3E; 1849 : 01; 1850 : 01; 1851 : 3E; 1852 : 00; 1853 : 00; 1854 : 00; 1855 : 00; 1856 : 00; 1857 : 10; 1858 : 10; 1859 : 10; 1860 : 10; 1861 : 7C; 1862 : 10; 1863 : 10; 1864 : 10; 1865 : 10; 1866 : 12; 1867 : 0C; 1868 : 00; 1869 : 00; 1870 : 00; 1871 : 00; 1872 : 00; 1873 : 00; 1874 : 00; 1875 : 00; 1876 : 00; 1877 : 42; 1878 : 42; 1879 : 42; 1880 : 42; 1881 : 42; 1882 : 42; 1883 : 3D; 1884 : 00; 1885 : 00; 1886 : 00; 1887 : 00; 1888 : 00; 1889 : 00; 1890 : 00; 1891 : 00; 1892 : 00; 1893 : 41; 1894 : 41; 1895 : 22; 1896 : 22; 1897 : 14; 1898 : 14; 1899 : 08; 1900 : 00; 1901 : 00; 1902 : 00; 1903 : 00; 1904 : 00; 1905 : 00; 1906 : 00; 1907 : 00; 1908 : 00; 1909 : 41; 1910 : 41; 1911 : 41; 1912 : 49; 1913 : 49; 1914 : 55; 1915 : 22; 1916 : 00; 1917 : 00; 1918 : 00; 1919 : 00; 1920 : 00; 1921 : 00; 1922 : 00; 1923 : 00; 1924 : 00; 1925 : 41; 1926 : 22; 1927 : 14; 1928 : 08; 1929 : 14; 1930 : 22; 1931 : 41; 1932 : 00; 1933 : 00; 1934 : 00; 1935 : 00; 1936 : 00; 1937 : 00; 1938 : 00; 1939 : 00; 1940 : 00; 1941 : 42; 1942 : 42; 1943 : 42; 1944 : 42; 1945 : 46; 1946 : 3A; 1947 : 02; 1948 : 02; 1949 : 42; 1950 : 3C; 1951 : 00; 1952 : 00; 1953 : 00; 1954 : 00; 1955 : 00; 1956 : 00; 1957 : 7F; 1958 : 02; 1959 : 04; 1960 : 08; 1961 : 10; 1962 : 20; 1963 : 7F; 1964 : 00; 1965 : 00; 1966 : 00; 1967 : 00; 1968 : 00; 1969 : 07; 1970 : 08; 1971 : 08; 1972 : 08; 1973 : 10; 1974 : 20; 1975 : 10; 1976 : 08; 1977 : 08; 1978 : 08; 1979 : 07; 1980 : 00; 1981 : 00; 1982 : 00; 1983 : 00; 1984 : 00; 1985 : 08; 1986 : 08; 1987 : 08; 1988 : 08; 1989 : 08; 1990 : 00; 1991 : 08; 1992 : 08; 1993 : 08; 1994 : 08; 1995 : 08; 1996 : 00; 1997 : 00; 1998 : 00; 1999 : 00; 2000 : 00; 2001 : 70; 2002 : 08; 2003 : 08; 2004 : 08; 2005 : 04; 2006 : 02; 2007 : 04; 2008 : 08; 2009 : 08; 2010 : 08; 2011 : 70; 2012 : 00; 2013 : 00; 2014 : 00; 2015 : 00; 2016 : 00; 2017 : 31; 2018 : 49; 2019 : 46; 2020 : 00; 2021 : 00; 2022 : 00; 2023 : 00; 2024 : 00; 2025 : 00; 2026 : 00; 2027 : 00; 2028 : 00; 2029 : 00; 2030 : 00; 2031 : 00; 2032 : 00; 2033 : 49; 2034 : 22; 2035 : 49; 2036 : 22; 2037 : 49; 2038 : 22; 2039 : 49; 2040 : 22; 2041 : 49; 2042 : 22; 2043 : 49; 2044 : 00; 2045 : 00; 2046 : 00; 2047 : 00; END;

Subversion Repositories System09

[/] [System09/] [trunk/] [rtl/] [Cyclone2/] [char_rom.mif] - Blame information for rev 215

Go to most recent revision | Details | Compare with Previous | View Log

Line No. Rev Author Line

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.