OpenCores
URL https://opencores.org/ocsvn/System09/System09/trunk

Subversion Repositories System09

[/] [System09/] [trunk/] [rtl/] [Cyclone2/] [kemap.txt] - Blame information for rev 116

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 116 dilbert57
--  when x"00d" => ascii <= x"09";  -- Horizontal Tab
2
--  when x"00e" => ascii <= x"60";  -- `
3
--  when x"015" => ascii <= x"71";  -- q
4
--  when x"016" => ascii <= x"31";  -- 1
5
--  when x"01a" => ascii <= x"7a";  -- z
6
--  when x"01b" => ascii <= x"73";  -- s
7
--  when x"01c" => ascii <= x"61";  -- a
8
--  when x"01d" => ascii <= x"77";  -- w
9
--  when x"01e" => ascii <= x"32";  -- 2
10
--  when x"021" => ascii <= x"63";  -- c
11
--  when x"022" => ascii <= x"78";  -- x
12
--  when x"023" => ascii <= x"64";  -- d
13
--  when x"024" => ascii <= x"65";  -- e
14
--  when x"025" => ascii <= x"34";  -- 4
15
--  when x"026" => ascii <= x"33";  -- 3
16
--  when x"029" => ascii <= x"20";  -- Space
17
--  when x"02a" => ascii <= x"76";  -- v
18
--  when x"02b" => ascii <= x"66";  -- f
19
--  when x"02c" => ascii <= x"74";  -- t
20
--  when x"02d" => ascii <= x"72";  -- r
21
--  when x"02e" => ascii <= x"35";  -- 5
22
--  when x"031" => ascii <= x"6e";  -- n
23
--  when x"032" => ascii <= x"62";  -- b
24
--  when x"033" => ascii <= x"68";  -- h
25
--  when x"034" => ascii <= x"67";  -- g
26
--  when x"035" => ascii <= x"79";  -- y
27
--  when x"036" => ascii <= x"36";  -- 6
28
--  when x"03a" => ascii <= x"6d";  -- m
29
--  when x"03b" => ascii <= x"6a";  -- j
30
--  when x"03c" => ascii <= x"75";  -- u
31
--  when x"03d" => ascii <= x"37";  -- 7
32
--  when x"03e" => ascii <= x"38";  -- 8
33
--  when x"041" => ascii <= x"2c";  -- ,
34
--  when x"042" => ascii <= x"6b";  -- k
35
--  when x"043" => ascii <= x"69";  -- i
36
--  when x"044" => ascii <= x"6f";  -- o
37
--  when x"045" => ascii <= x"30";  -- 0
38
--  when x"046" => ascii <= x"39";  -- 9
39
--  when x"04e" => ascii <= x"2d";  -- -
40
--  when x"049" => ascii <= x"2e";  -- .
41
--  when x"04a" => ascii <= x"2f";  -- /
42
--  when x"04b" => ascii <= x"6c";  -- l
43
--  when x"04c" => ascii <= x"3b";  -- ;
44
--  when x"04d" => ascii <= x"70";  -- p
45
--  when x"052" => ascii <= x"27";  --
46
--  when x"054" => ascii <= x"5b";  -- [
47
--  when x"055" => ascii <= x"3d";  -- =
48
--  when x"05a" => ascii <= x"0d";  -- Carriage return ("enter" key)
49
--  when x"05b" => ascii <= x"5d";  -- ]
50
--  when x"05d" => ascii <= x"5c";  -- \
51
--  when x"066" => ascii <= x"08";  -- Backspace ("backspace" key)
52
--  when x"071" => ascii <= x"7f";  -- (Delete OR DEL on numeric keypad)
53
--  when x"076" => ascii <= x"1b";  -- Escape ("esc" key)
54
--  when x"10d" => ascii <= x"09";  -- Horizontal Tab
55
--  when x"10e" => ascii <= x"7e";  -- ~
56
--  when x"115" => ascii <= x"51";  -- Q
57
--  when x"116" => ascii <= x"21";  -- !
58
--  when x"11a" => ascii <= x"5a";  -- Z
59
--  when x"11b" => ascii <= x"53";  -- S
60
--  when x"11c" => ascii <= x"41";  -- A
61
--  when x"11d" => ascii <= x"57";  -- W
62
--  when x"11e" => ascii <= x"40";  -- @
63
--  when x"121" => ascii <= x"43";  -- C
64
--  when x"122" => ascii <= x"58";  -- X
65
--  when x"123" => ascii <= x"44";  -- D
66
--  when x"124" => ascii <= x"45";  -- E
67
--  when x"125" => ascii <= x"24";  -- $
68
--  when x"126" => ascii <= x"23";  -- #
69
--  when x"129" => ascii <= x"20";  -- Space
70
--  when x"12a" => ascii <= x"56";  -- V
71
--  when x"12b" => ascii <= x"46";  -- F
72
--  when x"12c" => ascii <= x"54";  -- T
73
--  when x"12d" => ascii <= x"52";  -- R
74
--  when x"12e" => ascii <= x"25";  --
75
--  when x"131" => ascii <= x"4e";  -- N
76
--  when x"132" => ascii <= x"42";  -- B
77
--  when x"133" => ascii <= x"48";  -- H
78
--  when x"134" => ascii <= x"47";  -- G
79
--  when x"135" => ascii <= x"59";  -- Y
80
--  when x"136" => ascii <= x"5e";  -- ^
81
--  when x"13a" => ascii <= x"4d";  -- M
82
--  when x"13b" => ascii <= x"4a";  -- J
83
--  when x"13c" => ascii <= x"55";  -- U
84
--  when x"13d" => ascii <= x"26";  --
85
--  when x"13e" => ascii <= x"2a";  -- *
86
--  when x"141" => ascii <= x"3c";  -- <
87
--  when x"142" => ascii <= x"4b";  -- K
88
--  when x"143" => ascii <= x"49";  -- I
89
--  when x"144" => ascii <= x"4f";  -- O
90
--  when x"145" => ascii <= x"29";  --
91
--  when x"146" => ascii <= x"28";  --
92
--  when x"149" => ascii <= x"3e";  -- >
93
--  when x"14a" => ascii <= x"3f";  -- ?
94
--  when x"14b" => ascii <= x"4c";  -- L
95
--  when x"14c" => ascii <= x"3a";  -- :
96
--  when x"14d" => ascii <= x"50";  -- P
97
--  when x"14e" => ascii <= x"5f";  -- _
98
--  when x"152" => ascii <= x"22";  -- "
99
--  when x"154" => ascii <= x"7b";  -- {
100
--  when x"155" => ascii <= x"2b";  -- +
101
--  when x"15a" => ascii <= x"0d";  -- Carriage return ("enter" key)
102
--  when x"15b" => ascii <= x"7d";  -- }
103
--  when x"15d" => ascii <= x"7c";  -- |
104
--  when x"166" => ascii <= x"08";  -- Backspace ("backspace" key)
105
--  when x"171" => ascii <= x"7f";  -- (Delete OR DEL on numeric keypad)
106
--  when x"176" => ascii <= x"1b";  -- Escape ("esc" key)
107
--  when others => ascii <= x"ff";  -- 0xff used for unlisted characters.

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.