OpenCores
URL https://opencores.org/ocsvn/System09/System09/trunk
library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; entity keymap_rom is Port ( clk : in std_logic; rst : in std_logic; cs : in std_logic; rw : in std_logic; addr : in std_logic_vector (8 downto 0); data_in : in std_logic_vector (7 downto 0); data_out : out std_logic_vector (7 downto 0) ); end keymap_rom; architecture SYN of keymap_rom is begin rom_inst : entity work.sprom generic map ( INIT_FILE => "keymap_rom.mif", WORD_COUNT => 512, ADDR_WIDTH => 9 ) port map ( clk => clk, addr => addr, data_in => data_in, data_out => data_out ); end SYN;

Subversion Repositories System09

[/] [System09/] [trunk/] [rtl/] [Cyclone2/] [keymap_rom.vhd] - Blame information for rev 156

Go to most recent revision | Details | Compare with Previous | View Log

Line No. Rev Author Line

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.