OpenCores
URL https://opencores.org/ocsvn/System09/System09/trunk

Subversion Repositories System09

[/] [System09/] [trunk/] [rtl/] [Cyclone2/] [keymap_rom.vhd] - Blame information for rev 163

Go to most recent revision | Details | Compare with Previous | View Log

Line No. Rev Author Line
1 116 dilbert57
library ieee;
2
use ieee.std_logic_1164.all;
3
use ieee.numeric_std.all;
4
 
5
entity keymap_rom is
6
    Port (
7
       clk              : in  std_logic;
8
       rst              : in  std_logic;
9
       cs               : in  std_logic;
10
       rw               : in  std_logic;
11
       addr     : in  std_logic_vector (8 downto 0);
12
       data_in  : in  std_logic_vector (7 downto 0);
13
       data_out : out std_logic_vector (7 downto 0)
14
    );
15
end keymap_rom;
16
 
17
architecture SYN of keymap_rom is
18
begin
19
 
20
        rom_inst : entity work.sprom
21
                generic map
22
                (
23
                        INIT_FILE       => "keymap_rom.mif",
24
                        WORD_COUNT      => 512,
25
                        ADDR_WIDTH      => 9
26
                )
27
                port map
28
                (
29
                        clk                     => clk,
30
                        addr            => addr,
31
                        data_in     => data_in,
32
                        data_out        => data_out
33
                );
34
 
35
end SYN;
36
 

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.