OpenCores
URL https://opencores.org/ocsvn/System09/System09/trunk

Subversion Repositories System09

[/] [System09/] [trunk/] [rtl/] [Spartan2/] [sbug_rom2k_slice.vhd] - Blame information for rev 68

Go to most recent revision | Details | Compare with Previous | View Log

Line No. Rev Author Line
1 19 dilbert57
--=========================================================================
2
 
3
--
4
 
5
--  S Y N T H E Z I A B L E    SBUG - Monitor ROM for System09.
6
 
7
--
8
 
9
--=========================================================================
10
 
11
--
12
--  www.OpenCores.Org - September 2003
13
 
14
--  This core adheres to the GNU public license  
15
 
16
-- 
17
 
18
--         FILE NAME: sbug_rom2k_slice.vhd
19
 
20
--       ENTITY NAME: mon_rom
21
 
22
-- ARCHITECTURE NAME: rtl
23
 
24
--           VERSION: 1.0
25
 
26
--            AUTHOR: John E. Kent
27
 
28
--              DATE: 15 December 2002
29
 
30
--      DEPENDENCIES: ieee.Std_Logic_1164
31
 
32
--                    ieee.std_logic_unsigned
33
 
34
--                    ieee.std_logic_arith
35
 
36
--       DESCRIPTION: 2048 byte x 8 bit ROM Monitor program
37
 
38
--                    for the System09 using slices
39
 
40
--                    Sits at $F800
41
 
42
--                    ACIA at $E004
43
 
44
--                    DAT at $FFF0
45
 
46
--
47
 
48
--
49
 
50
library ieee;
51
 
52
        use ieee.std_logic_1164.all;
53
 
54
        use ieee.std_logic_arith.all;
55
 
56
        use ieee.std_logic_unsigned.all;
57
 
58
 
59
 
60
entity mon_rom is
61
 
62
  port (
63
 
64
    addr   : in   std_logic_vector(10 downto 0);
65
 
66
    data   : out  std_logic_vector(7 downto 0)
67
  );
68
 
69
end entity;
70
 
71
 
72
 
73
architecture rtl of mon_rom is
74
 
75
 
76
  constant width   : integer := 8;
77
 
78
  constant memsize : integer := 2048;
79
 
80
 
81
  type rom_array is array(0 to memsize-1) of std_logic_vector(width-1 downto 0);
82
 
83
 
84
 
85
  constant rom_data : rom_array :=
86
(
87
 
88
"11111000",
89
"00010100",
90
"11111000",
91
"01100001",
92
"11111101",
93
"11001111",
94
"11111101",
95
"11001001",
96
"11111101",
97
"11011111",
98
"11111101",
99
"11101110",
100
"11111101",
101
"10111101",
102
"11111101",
103
"10110001",
104
"11111101",
105
"10101101",
106
"11111011",
107
"10000001",
108
"10001110",
109
"11111110",
110
"01001111",
111
"00010000",
112
"10001110",
113
"11011111",
114
"11000000",
115
"11000110",
116
"00010000",
117
"10100110",
118
"10000000",
119
"10100111",
120
"10100000",
121
"01011010",
122
"00100110",
123
"11111001",
124
"10001110",
125
"11100000",
126
"00000100",
127
"10111111",
128
"11011111",
129
"11100000",
130
"00010111",
131
"00000010",
132
"01111010",
133
"11000110",
134
"00001100",
135
"01101111",
136
"11100010",
137
"01011010",
138
"00100110",
139
"11111011",
140
"00110000",
141
"10001100",
142
"11011101",
143
"10101111",
144
"01101010",
145
"10000110",
146
"11010000",
147
"10100111",
148
"11100100",
149
"00011111",
150
"01000011",
151
"00010111",
152
"00000101",
153
"10111110",
154
"10001110",
155
"11111110",
156
"01011111",
157
"00010111",
158
"00000101",
159
"01110101",
160
"10001110",
161
"11011111",
162
"11010000",
163
"01001111",
164
"11000110",
165
"00001101",
166
"01101101",
167
"10000101",
168
"00100111",
169
"00000011",
170
"10001011",
171
"00000100",
172
"00011001",
173
"01011010",
174
"00101010",
175
"11110110",
176
"00010111",
177
"00000101",
178
"00100110",
179
"10001110",
180
"11111110",
181
"01110100",
182
"00010111",
183
"00000101",
184
"01011100",
185
"10001110",
186
"11111110",
187
"01111011",
188
"00010111",
189
"00000101",
190
"01000110",
191
"00010111",
192
"00000101",
193
"01100101",
194
"10000100",
195
"01111111",
196
"10000001",
197
"00001101",
198
"00100111",
199
"11110001",
200
"00011111",
201
"10001001",
202
"10000001",
203
"00100000",
204
"00101100",
205
"00001001",
206
"10000110",
207
"01011110",
208
"00010111",
209
"00000101",
210
"01110011",
211
"00011111",
212
"10011000",
213
"10001011",
214
"01000000",
215
"00010111",
216
"00000101",
217
"01101100",
218
"00010111",
219
"00000101",
220
"01100111",
221
"11000001",
222
"01100000",
223
"00101111",
224
"00000010",
225
"11000000",
226
"00100000",
227
"10001110",
228
"11111110",
229
"00010011",
230
"11100001",
231
"10000000",
232
"00100111",
233
"00001111",
234
"00110000",
235
"00000010",
236
"10001100",
237
"11111110",
238
"01001111",
239
"00100110",
240
"11110101",
241
"10001110",
242
"11111110",
243
"01111101",
244
"00010111",
245
"00000101",
246
"00011110",
247
"00100000",
248
"11000000",
249
"10101101",
250
"10010100",
251
"00100000",
252
"10111100",
253
"00011111",
254
"00110100",
255
"00111011",
256
"10001110",
257
"11111110",
258
"10000011",
259
"00010111",
260
"00000100",
261
"11111111",
262
"00010111",
263
"00000100",
264
"00010001",
265
"00010111",
266
"00000100",
267
"00011001",
268
"00010111",
269
"00000100",
270
"00100001",
271
"00010111",
272
"00000100",
273
"00101001",
274
"00010111",
275
"00000100",
276
"00110001",
277
"10001110",
278
"11111110",
279
"10000011",
280
"00010111",
281
"00000100",
282
"11101010",
283
"00010111",
284
"00000100",
285
"00110011",
286
"00010111",
287
"00000100",
288
"00111010",
289
"00010111",
290
"00000100",
291
"01000001",
292
"00010110",
293
"00000100",
294
"01001000",
295
"00010111",
296
"00000100",
297
"00100111",
298
"00010111",
299
"00000101",
300
"00010111",
301
"00010111",
302
"00000100",
303
"01010111",
304
"00101001",
305
"00000010",
306
"10101111",
307
"01001010",
308
"00111001",
309
"00010111",
310
"00000011",
311
"11101101",
312
"00010111",
313
"00000101",
314
"00001001",
315
"00010111",
316
"00000100",
317
"01001001",
318
"00101001",
319
"00000010",
320
"10101111",
321
"01001000",
322
"00111001",
323
"00010111",
324
"00000100",
325
"00000000",
326
"00010111",
327
"00000100",
328
"11111011",
329
"00010111",
330
"00000100",
331
"00111011",
332
"00101001",
333
"00000010",
334
"10101111",
335
"01000110",
336
"00111001",
337
"00010111",
338
"00000011",
339
"11100111",
340
"00010111",
341
"00000100",
342
"11101101",
343
"00010111",
344
"00000100",
345
"00101101",
346
"00101001",
347
"00000010",
348
"10101111",
349
"01000100",
350
"00111001",
351
"00010111",
352
"00000011",
353
"11001110",
354
"00010111",
355
"00000100",
356
"11011111",
357
"00010111",
358
"00000100",
359
"00110000",
360
"00101001",
361
"00000010",
362
"10100111",
363
"01000011",
364
"00111001",
365
"00010111",
366
"00000011",
367
"11110101",
368
"00010111",
369
"00000100",
370
"11010001",
371
"00010111",
372
"00000100",
373
"00100010",
374
"00101001",
375
"00000010",
376
"10100111",
377
"01000010",
378
"00111001",
379
"00010111",
380
"00000011",
381
"11011101",
382
"00010111",
383
"00000100",
384
"11000011",
385
"00010111",
386
"00000100",
387
"00010100",
388
"00101001",
389
"00000010",
390
"10100111",
391
"01000001",
392
"00111001",
393
"00010111",
394
"00000011",
395
"11100011",
396
"00010111",
397
"00000100",
398
"10110101",
399
"00010111",
400
"00000100",
401
"00000110",
402
"00101001",
403
"00000100",
404
"10001010",
405
"10000000",
406
"10100111",
407
"11000100",
408
"00111001",
409
"00010111",
410
"00000011",
411
"11101011",
412
"00101001",
413
"00101101",
414
"00011111",
415
"00010010",
416
"10001110",
417
"11111110",
418
"10000011",
419
"00010111",
420
"00000100",
421
"01011111",
422
"00011111",
423
"00100001",
424
"00010111",
425
"00000100",
426
"00100110",
427
"00010111",
428
"00000100",
429
"10010110",
430
"10100110",
431
"10100100",
432
"00010111",
433
"00000100",
434
"00100110",
435
"00010111",
436
"00000100",
437
"10001110",
438
"00010111",
439
"00000011",
440
"11011111",
441
"00101000",
442
"00010001",
443
"10000001",
444
"00001000",
445
"00100111",
446
"11100001",
447
"10000001",
448
"00011000",
449
"00100111",
450
"11011101",
451
"10000001",
452
"01011110",
453
"00100111",
454
"00010111",
455
"10000001",
456
"00001101",
457
"00100110",
458
"00001111",
459
"00111001",
460
"10100111",
461
"10100100",
462
"10100001",
463
"10100100",
464
"00100111",
465
"00001000",
466
"00010111",
467
"00000100",
468
"01101111",
469
"10000110",
470
"00111111",
471
"00010111",
472
"00000100",
473
"01101100",
474
"00110001",
475
"00100001",
476
"00100000",
477
"11000010",
478
"00110001",
479
"00111111",
480
"00100000",
481
"10111110",
482
"00010111",
483
"00000011",
484
"00110101",
485
"00011111",
486
"00110010",
487
"10001110",
488
"11011111",
489
"11000000",
490
"00110000",
491
"00011111",
492
"00100000",
493
"00000101",
494
"00010111",
495
"00000011",
496
"10001011",
497
"00101001",
498
"00000110",
499
"00110100",
500
"00100000",
501
"10101100",
502
"11100001",
503
"00100100",
504
"00000001",
505
"00111001",
506
"00011111",
507
"00010000",
508
"11000011",
509
"00000000",
510
"00010000",
511
"11000100",
512
"11110000",
513
"00110100",
514
"00000110",
515
"00011111",
516
"00100000",
517
"11000100",
518
"11110000",
519
"00011111",
520
"00000001",
521
"10101100",
522
"11100100",
523
"00100111",
524
"00000101",
525
"00010111",
526
"00000100",
527
"00100111",
528
"00100111",
529
"00000011",
530
"00110010",
531
"01100010",
532
"00111001",
533
"00110100",
534
"00010000",
535
"10001110",
536
"11111110",
537
"10000011",
538
"00010111",
539
"00000011",
540
"11101000",
541
"10101110",
542
"11100100",
543
"00010111",
544
"00000011",
545
"10101111",
546
"11000110",
547
"00010000",
548
"10100110",
549
"10000000",
550
"00010111",
551
"00000011",
552
"10110000",
553
"00010111",
554
"00000100",
555
"00011000",
556
"01011010",
557
"00100110",
558
"11110101",
559
"00010111",
560
"00000100",
561
"00010000",
562
"10101110",
563
"11100001",
564
"11000110",
565
"00010000",
566
"10100110",
567
"10000000",
568
"10000001",
569
"00100000",
570
"00100101",
571
"00000100",
572
"10000001",
573
"01111110",
574
"00100011",
575
"00000010",
576
"10000110",
577
"00101110",
578
"00010111",
579
"00000100",
580
"00000001",
581
"01011010",
582
"00100110",
583
"11101110",
584
"00100000",
585
"10111111",
586
"01101111",
587
"11100010",
588
"01101111",
589
"11100010",
590
"00010111",
591
"00000011",
592
"00101011",
593
"00110100",
594
"00110000",
595
"00101001",
596
"01111011",
597
"10101100",
598
"01100010",
599
"00100101",
600
"01110111",
601
"00010111",
602
"00000011",
603
"11101000",
604
"00011111",
605
"00100000",
606
"11100011",
607
"01100100",
608
"00110100",
609
"00000100",
610
"10101011",
611
"11100000",
612
"10100111",
613
"10100000",
614
"00010000",
615
"10101100",
616
"11100100",
617
"00100101",
618
"11110001",
619
"00010000",
620
"10101110",
621
"01100010",
622
"00011111",
623
"00100000",
624
"11100011",
625
"01100100",
626
"00110100",
627
"00000010",
628
"11101011",
629
"11100000",
630
"11101000",
631
"10100000",
632
"00100111",
633
"00111100",
634
"10001110",
635
"11111110",
636
"10000011",
637
"00010111",
638
"00000011",
639
"10000101",
640
"00110000",
641
"00111111",
642
"00010111",
643
"00000011",
644
"01001100",
645
"00110100",
646
"00010000",
647
"10001110",
648
"11111110",
649
"10100001",
650
"00010111",
651
"00000011",
652
"10001000",
653
"00110101",
654
"00010000",
655
"00010111",
656
"00000001",
657
"01000111",
658
"00010111",
659
"00000011",
660
"01010000",
661
"00010111",
662
"00000011",
663
"00111001",
664
"10001110",
665
"11111110",
666
"10000111",
667
"00010111",
668
"00000011",
669
"01110111",
670
"10101110",
671
"01100100",
672
"00010111",
673
"00000011",
674
"00101110",
675
"10001110",
676
"11111110",
677
"10001111",
678
"00010111",
679
"00000011",
680
"01101100",
681
"00011111",
682
"10011000",
683
"10001110",
684
"11111110",
685
"10100110",
686
"00010111",
687
"00000011",
688
"00111110",
689
"00010111",
690
"00000011",
691
"10000011",
692
"00100110",
693
"00011010",
694
"00010000",
695
"10101100",
696
"11100100",
697
"00100101",
698
"10110011",
699
"10000110",
700
"00101011",
701
"00010111",
702
"00000011",
703
"10000110",
704
"00010111",
705
"00000011",
706
"01110100",
707
"00100110",
708
"00001011",
709
"00010000",
710
"10101110",
711
"01100010",
712
"01101100",
713
"01100101",
714
"00100110",
715
"10010000",
716
"01101100",
717
"01100100",
718
"00100110",
719
"10001100",
720
"00110010",
721
"01100110",
722
"00111001",
723
"00010111",
724
"00000010",
725
"10110001",
726
"00101001",
727
"00011110",
728
"10001100",
729
"11011111",
730
"11000000",
731
"00100100",
732
"00011010",
733
"00110100",
734
"00010000",
735
"10001110",
736
"11111111",
737
"11111111",
738
"10001101",
739
"01010101",
740
"00110101",
741
"00010000",
742
"00100111",
743
"00001111",
744
"10100110",
745
"10000100",
746
"10000001",
747
"00111111",
748
"00100111",
749
"00001001",
750
"10100111",
751
"10100000",
752
"10101111",
753
"10100100",
754
"10000110",
755
"00111111",
756
"10100111",
757
"10000100",
758
"00111001",
759
"00010111",
760
"00000011",
761
"01001010",
762
"10000110",
763
"00111111",
764
"00010110",
765
"00000011",
766
"01000111",
767
"00010000",
768
"10001110",
769
"11011111",
770
"11100011",
771
"11000110",
772
"00001000",
773
"10001101",
774
"00011000",
775
"01011010",
776
"00100110",
777
"11111011",
778
"00111001",
779
"00011111",
780
"01000011",
781
"10101110",
782
"01001010",
783
"00110000",
784
"00011111",
785
"10001101",
786
"00100110",
787
"00100111",
788
"00000100",
789
"10101111",
790
"01001010",
791
"10001101",
792
"00000110",
793
"00010111",
794
"11111101",
795
"11100100",
796
"00010110",
797
"11111101",
798
"10011010",
799
"10101110",
800
"00100001",
801
"10001100",
802
"11011111",
803
"11000000",
804
"00100100",
805
"00001010",
806
"10100110",
807
"10000100",
808
"10000001",
809
"00111111",
810
"00100110",
811
"00000100",
812
"10100110",
813
"10100100",
814
"10100111",
815
"10000100",
816
"10000110",
817
"11111111",
818
"10100111",
819
"10100000",
820
"10100111",
821
"10100000",
822
"10100111",
823
"10100000",
824
"00111001",
825
"00010000",
826
"10001110",
827
"11011111",
828
"11100011",
829
"11000110",
830
"00001000",
831
"10100110",
832
"10100000",
833
"10101100",
834
"10100001",
835
"00100111",
836
"00000100",
837
"01011010",
838
"00100110",
839
"11110111",
840
"00111001",
841
"00110001",
842
"00111101",
843
"00111001",
844
"10000110",
845
"11011110",
846
"10110111",
847
"11110000",
848
"00100100",
849
"10000110",
850
"11111111",
851
"10110111",
852
"11110000",
853
"00010100",
854
"10110111",
855
"11110000",
856
"00010000",
857
"10110111",
858
"11110000",
859
"00010101",
860
"10110111",
861
"11110000",
862
"00010110",
863
"01111101",
864
"11110000",
865
"00010000",
866
"10000110",
867
"11011000",
868
"10110111",
869
"11110000",
870
"00100000",
871
"00010111",
872
"00000000",
873
"10010111",
874
"10110110",
875
"11110000",
876
"00100000",
877
"00101011",
878
"11111011",
879
"10000110",
880
"00001001",
881
"10110111",
882
"11110000",
883
"00100000",
884
"00010111",
885
"00000000",
886
"10001010",
887
"10110110",
888
"11110000",
889
"00100000",
890
"10000101",
891
"00000001",
892
"00100110",
893
"11111001",
894
"10000101",
895
"00010000",
896
"00100110",
897
"11001010",
898
"10001110",
899
"11000000",
900
"00000000",
901
"10001101",
902
"01010010",
903
"10001010",
904
"00010000",
905
"10110111",
906
"11110000",
907
"01000000",
908
"00011111",
909
"00010000",
910
"01000011",
911
"01010011",
912
"11111101",
913
"11110000",
914
"00000000",
915
"10001110",
916
"11111110",
917
"11111111",
918
"10111111",
919
"11110000",
920
"00000010",
921
"10000110",
922
"11111111",
923
"10110111",
924
"11110000",
925
"00010000",
926
"10000110",
927
"11111110",
928
"10110111",
929
"11110000",
930
"00010100",
931
"10000110",
932
"00000001",
933
"10110111",
934
"11110000",
935
"00100010",
936
"10000110",
937
"10001100",
938
"10110111",
939
"11110000",
940
"00100000",
941
"10001101",
942
"01010010",
943
"01011111",
944
"00110100",
945
"00000100",
946
"01011111",
947
"01111101",
948
"11110000",
949
"00010000",
950
"00101010",
951
"00001010",
952
"01011010",
953
"00100110",
954
"11111000",
955
"00110101",
956
"00000100",
957
"01011010",
958
"00100110",
959
"11110000",
960
"00100000",
961
"10001010",
962
"00110101",
963
"00000100",
964
"10110110",
965
"11110000",
966
"00100000",
967
"10000101",
968
"00011100",
969
"00100111",
970
"00000001",
971
"00111001",
972
"11000110",
973
"11011110",
974
"11110111",
975
"11110000",
976
"00100100",
977
"10001110",
978
"11000000",
979
"00000000",
980
"10101111",
981
"01001010",
982
"00011111",
983
"00110100",
984
"00111011",
985
"00110100",
986
"00110110",
987
"10100110",
988
"01100010",
989
"01000100",
990
"01000100",
991
"01000100",
992
"01000100",
993
"00010000",
994
"10001110",
995
"11011111",
996
"11010000",
997
"11100110",
998
"10100110",
999
"01010100",
1000
"01010100",
1001
"01010100",
1002
"01010100",
1003
"11100111",
1004
"11100100",
1005
"11100110",
1006
"10100110",
1007
"01010011",
1008
"01011000",
1009
"01011000",
1010
"01011000",
1011
"01011000",
1012
"10100110",
1013
"01100010",
1014
"10000100",
1015
"00001111",
1016
"10100111",
1017
"01100010",
1018
"11101010",
1019
"01100010",
1020
"11100111",
1021
"01100010",
1022
"00110101",
1023
"00110110",
1024
"00111001",
1025
"00110100",
1026
"00000100",
1027
"11000110",
1028
"00100000",
1029
"01011010",
1030
"00100110",
1031
"11111101",
1032
"00110101",
1033
"00000100",
1034
"00111001",
1035
"01111101",
1036
"11100000",
1037
"00011000",
1038
"01111111",
1039
"11100000",
1040
"00010100",
1041
"11000110",
1042
"00000011",
1043
"10001110",
1044
"00000000",
1045
"00000000",
1046
"00110000",
1047
"00000001",
1048
"10001100",
1049
"00000000",
1050
"00000000",
1051
"00100110",
1052
"11111001",
1053
"01011010",
1054
"00100110",
1055
"11110110",
1056
"10000110",
1057
"00001111",
1058
"10110111",
1059
"11100000",
1060
"00011000",
1061
"10001101",
1062
"00110111",
1063
"11110110",
1064
"11100000",
1065
"00011000",
1066
"11000101",
1067
"00000001",
1068
"00100110",
1069
"11111001",
1070
"10000110",
1071
"00000001",
1072
"10110111",
1073
"11100000",
1074
"00011010",
1075
"10001101",
1076
"00101001",
1077
"10000110",
1078
"10001100",
1079
"10110111",
1080
"11100000",
1081
"00011000",
1082
"10001101",
1083
"00100010",
1084
"10001110",
1085
"11000000",
1086
"00000000",
1087
"00100000",
1088
"00001001",
1089
"11000101",
1090
"00000010",
1091
"00100111",
1092
"00000101",
1093
"10110110",
1094
"11100000",
1095
"00011011",
1096
"10100111",
1097
"10000000",
1098
"11110110",
1099
"11100000",
1100
"00011000",
1101
"11000101",
1102
"00000001",
1103
"00100110",
1104
"11110000",
1105
"11000101",
1106
"00101100",
1107
"00100111",
1108
"00000001",
1109
"00111001",
1110
"10001110",
1111
"11000000",
1112
"00000000",
1113
"10101111",
1114
"01001010",
1115
"00011111",
1116
"00110100",
1117
"00111011",
1118
"11000110",
1119
"00100000",
1120
"01011010",
1121
"00100110",
1122
"11111101",
1123
"00111001",
1124
"10000110",
1125
"00010001",
1126
"00010111",
1127
"00000001",
1128
"11011101",
1129
"01111111",
1130
"11011111",
1131
"11100010",
1132
"00010111",
1133
"00000001",
1134
"10101101",
1135
"10000001",
1136
"01010011",
1137
"00100110",
1138
"11111001",
1139
"00010111",
1140
"00000001",
1141
"10100110",
1142
"10000001",
1143
"00111001",
1144
"00100111",
1145
"00111101",
1146
"10000001",
1147
"00110001",
1148
"00100110",
1149
"11110001",
1150
"00010111",
1151
"00000001",
1152
"00010111",
1153
"00110100",
1154
"00000010",
1155
"00101001",
1156
"00100110",
1157
"00010111",
1158
"00000000",
1159
"11111111",
1160
"00101001",
1161
"00100001",
1162
"00110100",
1163
"00010000",
1164
"11100110",
1165
"11100000",
1166
"11101011",
1167
"11100000",
1168
"11101011",
1169
"11100100",
1170
"01101010",
1171
"11100100",
1172
"01101010",
1173
"11100100",
1174
"00110100",
1175
"00000100",
1176
"00010111",
1177
"00000000",
1178
"11111101",
1179
"00110101",
1180
"00000100",
1181
"00101001",
1182
"00001100",
1183
"00110100",
1184
"00000010",
1185
"11101011",
1186
"11100000",
1187
"01101010",
1188
"11100100",
1189
"00100111",
1190
"00000101",
1191
"10100111",
1192
"10000000",
1193
"00100000",
1194
"11101011",
1195
"01011111",
1196
"00110101",
1197
"00000010",
1198
"11000001",
1199
"11111111",
1200
"00100111",
1201
"10110010",
1202
"10000110",
1203
"00111111",
1204
"00010111",
1205
"00000001",
1206
"10001111",
1207
"01110011",
1208
"11011111",
1209
"11100010",
1210
"10000110",
1211
"00010011",
1212
"00010110",
1213
"00000001",
1214
"10000111",
1215
"01101111",
1216
"11100010",
1217
"00010111",
1218
"00000000",
1219
"10111000",
1220
"00110100",
1221
"00110000",
1222
"00101001",
1223
"01001010",
1224
"10101100",
1225
"01100010",
1226
"00100101",
1227
"01000110",
1228
"00110000",
1229
"00000001",
1230
"10101111",
1231
"11100100",
1232
"10000110",
1233
"00010010",
1234
"00010111",
1235
"00000001",
1236
"01110001",
1237
"11101100",
1238
"11100100",
1239
"10100011",
1240
"01100010",
1241
"00100111",
1242
"00000110",
1243
"00010000",
1244
"10000011",
1245
"00000000",
1246
"00100000",
1247
"00100011",
1248
"00000010",
1249
"11000110",
1250
"00100000",
1251
"11100111",
1252
"01100100",
1253
"10001110",
1254
"11111110",
1255
"11101011",
1256
"00010111",
1257
"00000001",
1258
"00011010",
1259
"11001011",
1260
"00000011",
1261
"00011111",
1262
"10011000",
1263
"00010111",
1264
"00000000",
1265
"11100111",
1266
"10101110",
1267
"01100010",
1268
"00010111",
1269
"00000000",
1270
"11011010",
1271
"11101011",
1272
"01100010",
1273
"11101011",
1274
"01100011",
1275
"11101011",
1276
"10000100",
1277
"10100110",
1278
"10000000",
1279
"00010111",
1280
"00000000",
1281
"11010111",
1282
"01101010",
1283
"01100100",
1284
"00100110",
1285
"11110101",
1286
"01010011",
1287
"00011111",
1288
"10011000",
1289
"00010111",
1290
"00000000",
1291
"11001101",
1292
"10101111",
1293
"01100010",
1294
"10101100",
1295
"11100100",
1296
"00100110",
1297
"11000011",
1298
"10000110",
1299
"00010100",
1300
"00010111",
1301
"00000001",
1302
"00101111",
1303
"00110010",
1304
"01100101",
1305
"00111001",
1306
"10001110",
1307
"11111110",
1308
"10101110",
1309
"00010111",
1310
"00000000",
1311
"11110101",
1312
"00011111",
1313
"00110001",
1314
"00010110",
1315
"00000000",
1316
"10101100",
1317
"10001110",
1318
"11111110",
1319
"10111010",
1320
"00010111",
1321
"00000000",
1322
"11101010",
1323
"10101110",
1324
"01001000",
1325
"00010110",
1326
"00000000",
1327
"10100001",
1328
"10001110",
1329
"11111110",
1330
"11001100",
1331
"00010111",
1332
"00000000",
1333
"11011111",
1334
"10100110",
1335
"01000011",
1336
"00010110",
1337
"00000000",
1338
"10011110",
1339
"10001110",
1340
"11111110",
1341
"11000110",
1342
"00010111",
1343
"00000000",
1344
"11010100",
1345
"10101110",
1346
"01000100",
1347
"00010110",
1348
"00000000",
1349
"10001011",
1350
"10001110",
1351
"11111110",
1352
"11000000",
1353
"00010111",
1354
"00000000",
1355
"11001001",
1356
"10101110",
1357
"01000110",
1358
"00010110",
1359
"00000000",
1360
"10000000",
1361
"10001110",
1362
"11111110",
1363
"10110100",
1364
"00010111",
1365
"00000000",
1366
"10111110",
1367
"10101110",
1368
"01001010",
1369
"00100000",
1370
"01110110",
1371
"10001110",
1372
"11111110",
1373
"11010010",
1374
"00010111",
1375
"00000000",
1376
"10110100",
1377
"10100110",
1378
"01000001",
1379
"00100000",
1380
"01110100",
1381
"10001110",
1382
"11111110",
1383
"11010111",
1384
"00010111",
1385
"00000000",
1386
"10101010",
1387
"10100110",
1388
"01000010",
1389
"00100000",
1390
"01101010",
1391
"10001110",
1392
"11111110",
1393
"11011100",
1394
"00010111",
1395
"00000000",
1396
"10100000",
1397
"10100110",
1398
"11000100",
1399
"10001110",
1400
"11111110",
1401
"11100011",
1402
"00100000",
1403
"01110011",
1404
"10001101",
1405
"00001001",
1406
"00101001",
1407
"01001110",
1408
"00011111",
1409
"00010010",
1410
"10000110",
1411
"00101101",
1412
"00010111",
1413
"00000000",
1414
"10111111",
1415
"10001101",
1416
"00001111",
1417
"00101001",
1418
"01000011",
1419
"00011111",
1420
"00000001",
1421
"10001101",
1422
"00001001",
1423
"00101001",
1424
"00111101",
1425
"00110100",
1426
"00010000",
1427
"10100111",
1428
"01100001",
1429
"00110101",
1430
"00010000",
1431
"00111001",
1432
"10001101",
1433
"00010001",
1434
"00101001",
1435
"00110010",
1436
"01001000",
1437
"01001000",
1438
"01001000",
1439
"01001000",
1440
"00011111",
1441
"10001001",
1442
"10001101",
1443
"00000111",
1444
"00101001",
1445
"00101000",
1446
"00110100",
1447
"00000100",
1448
"10101011",
1449
"11100000",
1450
"00111001",
1451
"10001101",
1452
"01101111",
1453
"10000001",
1454
"00110000",
1455
"00100101",
1456
"00011101",
1457
"10000001",
1458
"00111001",
1459
"00100010",
1460
"00000011",
1461
"10000000",
1462
"00110000",
1463
"00111001",
1464
"10000001",
1465
"01000001",
1466
"00100101",
1467
"00010010",
1468
"10000001",
1469
"01000110",
1470
"00100010",
1471
"00000011",
1472
"10000000",
1473
"00110111",
1474
"00111001",
1475
"10000001",
1476
"01100001",
1477
"00100101",
1478
"00000111",
1479
"10000001",
1480
"01100110",
1481
"00100010",
1482
"00000011",
1483
"10000000",
1484
"01010111",
1485
"00111001",
1486
"00011010",
1487
"00000010",
1488
"00111001",
1489
"00110100",
1490
"00010000",
1491
"00110101",
1492
"00000010",
1493
"10001101",
1494
"00000010",
1495
"00110101",
1496
"00000010",
1497
"00110100",
1498
"00000010",
1499
"01000100",
1500
"01000100",
1501
"01000100",
1502
"01000100",
1503
"10001101",
1504
"00000100",
1505
"00110101",
1506
"00000010",
1507
"10000100",
1508
"00001111",
1509
"10001011",
1510
"00110000",
1511
"10000001",
1512
"00111001",
1513
"00101111",
1514
"00000010",
1515
"10001011",
1516
"00000111",
1517
"00100000",
1518
"01010111",
1519
"00110100",
1520
"00000010",
1521
"11000110",
1522
"00001000",
1523
"10100110",
1524
"10000000",
1525
"01101000",
1526
"11100100",
1527
"00100101",
1528
"00000010",
1529
"10000110",
1530
"00101101",
1531
"10001101",
1532
"01001001",
1533
"10001101",
1534
"01000101",
1535
"01011010",
1536
"00100110",
1537
"11110001",
1538
"00110101",
1539
"00000010",
1540
"00111001",
1541
"10001101",
1542
"00000010",
1543
"00100000",
1544
"00001100",
1545
"00110100",
1546
"00010000",
1547
"10001110",
1548
"11111110",
1549
"01110101",
1550
"10001101",
1551
"00000101",
1552
"00110101",
1553
"00010000",
1554
"00111001",
1555
"10001101",
1556
"00110001",
1557
"10100110",
1558
"10000000",
1559
"10000001",
1560
"00000100",
1561
"00100110",
1562
"11111000",
1563
"00111001",
1564
"01111101",
1565
"11011111",
1566
"11100010",
1567
"00100111",
1568
"00000110",
1569
"10001101",
1570
"00000100",
1571
"10000100",
1572
"01111111",
1573
"00100000",
1574
"00011111",
1575
"00110100",
1576
"00010000",
1577
"10111110",
1578
"11011111",
1579
"11100000",
1580
"10100110",
1581
"10000100",
1582
"10000101",
1583
"00000001",
1584
"00100111",
1585
"11111010",
1586
"10100110",
1587
"00000001",
1588
"00110101",
1589
"00010000",
1590
"00111001",
1591
"00110100",
1592
"00000010",
1593
"10100110",
1594
"10011111",
1595
"11011111",
1596
"11100000",
1597
"10000101",
1598
"00000001",
1599
"00110101",
1600
"00000010",
1601
"00111001",
1602
"10001101",
1603
"00000000",
1604
"10000110",
1605
"00100000",
1606
"00110100",
1607
"00010010",
1608
"10111110",
1609
"11011111",
1610
"11100000",
1611
"10100110",
1612
"10000100",
1613
"10000101",
1614
"00000010",
1615
"00100111",
1616
"11111010",
1617
"00110101",
1618
"00000010",
1619
"10100111",
1620
"00000001",
1621
"00110101",
1622
"00010000",
1623
"00111001",
1624
"10111110",
1625
"11011111",
1626
"11100000",
1627
"10000110",
1628
"00000011",
1629
"10100111",
1630
"10000100",
1631
"10000110",
1632
"00010001",
1633
"10100111",
1634
"10000100",
1635
"01101101",
1636
"00000001",
1637
"10000110",
1638
"11111111",
1639
"10110111",
1640
"11011111",
1641
"11100010",
1642
"00111001",
1643
"00000001",
1644
"11111001",
1645
"00100011",
1646
"00000010",
1647
"11111001",
1648
"00010101",
1649
"00000011",
1650
"11111001",
1651
"00110001",
1652
"00000100",
1653
"11111001",
1654
"00000111",
1655
"00010000",
1656
"11111000",
1657
"11001111",
1658
"00010101",
1659
"11111000",
1660
"11011101",
1661
"00011000",
1662
"11111000",
1663
"11111001",
1664
"00011001",
1665
"11111000",
1666
"11101011",
1667
"01000010",
1668
"11111010",
1669
"01111011",
1670
"01000100",
1671
"11111010",
1672
"11110100",
1673
"01000101",
1674
"11111001",
1675
"10010110",
1676
"01000111",
1677
"11111000",
1678
"10100101",
1679
"01001100",
1680
"11111100",
1681
"00001100",
1682
"01001101",
1683
"11111001",
1684
"01000001",
1685
"01010000",
1686
"11111100",
1687
"01100111",
1688
"01010001",
1689
"11111001",
1690
"11110010",
1691
"01010010",
1692
"11111000",
1693
"10101000",
1694
"01010011",
1695
"11111001",
1696
"10001010",
1697
"01010101",
1698
"11111011",
1699
"10110011",
1700
"01011000",
1701
"11111010",
1702
"10100111",
1703
"11111010",
1704
"10110011",
1705
"11111000",
1706
"10100111",
1707
"11111000",
1708
"10100111",
1709
"11111000",
1710
"10100111",
1711
"11111000",
1712
"10100111",
1713
"11111010",
1714
"10110011",
1715
"11111111",
1716
"11111111",
1717
"11111111",
1718
"11111111",
1719
"00000000",
1720
"00000000",
1721
"00000000",
1722
"00001101",
1723
"00001010",
1724
"00000000",
1725
"00000000",
1726
"00000000",
1727
"01010011",
1728
"00101101",
1729
"01000010",
1730
"01010101",
1731
"01000111",
1732
"00100000",
1733
"00110001",
1734
"00101110",
1735
"00111000",
1736
"00100000",
1737
"00101101",
1738
"00100000",
1739
"00000100",
1740
"01001011",
1741
"00001101",
1742
"00001010",
1743
"00000000",
1744
"00000000",
1745
"00000000",
1746
"00000100",
1747
"00111110",
1748
"00000100",
1749
"01010111",
1750
"01001000",
1751
"01000001",
1752
"01010100",
1753
"00111111",
1754
"00000100",
1755
"00100000",
1756
"00101101",
1757
"00100000",
1758
"00000100",
1759
"00101100",
1760
"00100000",
1761
"01010000",
1762
"01000001",
1763
"01010011",
1764
"01010011",
1765
"00100000",
1766
"00000100",
1767
"00101100",
1768
"00100000",
1769
"01000010",
1770
"01001001",
1771
"01010100",
1772
"01010011",
1773
"00100000",
1774
"01001001",
1775
"01001110",
1776
"00100000",
1777
"01000101",
1778
"01010010",
1779
"01010010",
1780
"01001111",
1781
"01010010",
1782
"00111010",
1783
"00100000",
1784
"00000100",
1785
"00100000",
1786
"00111101",
1787
"00111110",
1788
"00100000",
1789
"00000100",
1790
"00110111",
1791
"00110110",
1792
"00110101",
1793
"00110100",
1794
"00110011",
1795
"00110010",
1796
"00110001",
1797
"00110000",
1798
"00100000",
1799
"00100000",
1800
"01010011",
1801
"01010000",
1802
"00111101",
1803
"00000100",
1804
"00100000",
1805
"00100000",
1806
"01010000",
1807
"01000011",
1808
"00111101",
1809
"00000100",
1810
"00100000",
1811
"00100000",
1812
"01010101",
1813
"01010011",
1814
"00111101",
1815
"00000100",
1816
"00100000",
1817
"00100000",
1818
"01001001",
1819
"01011001",
1820
"00111101",
1821
"00000100",
1822
"00100000",
1823
"00100000",
1824
"01001001",
1825
"01011000",
1826
"00111101",
1827
"00000100",
1828
"00100000",
1829
"00100000",
1830
"01000100",
1831
"01010000",
1832
"00111101",
1833
"00000100",
1834
"00100000",
1835
"00100000",
1836
"01000001",
1837
"00111101",
1838
"00000100",
1839
"00100000",
1840
"00100000",
1841
"01000010",
1842
"00111101",
1843
"00000100",
1844
"00100000",
1845
"00100000",
1846
"01000011",
1847
"01000011",
1848
"00111010",
1849
"00100000",
1850
"00000100",
1851
"01000101",
1852
"01000110",
1853
"01001000",
1854
"01001001",
1855
"01001110",
1856
"01011010",
1857
"01010110",
1858
"01000011",
1859
"01010011",
1860
"00110001",
1861
"00000100",
1862
"11111111",
1863
"11111111",
1864
"11111111",
1865
"11111111",
1866
"11111111",
1867
"11111111",
1868
"11111111",
1869
"11111111",
1870
"11111111",
1871
"11111111",
1872
"11111111",
1873
"11111111",
1874
"11111111",
1875
"11111111",
1876
"11111111",
1877
"00000000",
1878
"00000000",
1879
"00000000",
1880
"10001110",
1881
"11111111",
1882
"11110000",
1883
"10000110",
1884
"00001111",
1885
"10100111",
1886
"10000000",
1887
"01001010",
1888
"00100110",
1889
"11111011",
1890
"10000110",
1891
"11110000",
1892
"10100111",
1893
"10000100",
1894
"10001110",
1895
"11010000",
1896
"10100000",
1897
"00010000",
1898
"10001110",
1899
"01010101",
1900
"10101010",
1901
"11101110",
1902
"10000100",
1903
"00010000",
1904
"10101111",
1905
"10000100",
1906
"00010000",
1907
"10101100",
1908
"10000100",
1909
"00100111",
1910
"00001011",
1911
"00110000",
1912
"10001001",
1913
"11110000",
1914
"00000000",
1915
"10001100",
1916
"11110000",
1917
"10100000",
1918
"00100110",
1919
"11101101",
1920
"00100000",
1921
"11010110",
1922
"11101111",
1923
"10000100",
1924
"00011111",
1925
"00010000",
1926
"01000011",
1927
"01000100",
1928
"01000100",
1929
"01000100",
1930
"01000100",
1931
"10110111",
1932
"11111111",
1933
"11111101",
1934
"00010000",
1935
"11001110",
1936
"11011111",
1937
"11000000",
1938
"00010000",
1939
"10001110",
1940
"11011111",
1941
"11010000",
1942
"10100111",
1943
"00101101",
1944
"01101111",
1945
"00101110",
1946
"10000110",
1947
"11110000",
1948
"10100111",
1949
"00101111",
1950
"10000110",
1951
"00001100",
1952
"01101111",
1953
"10100110",
1954
"01001010",
1955
"00101010",
1956
"11111011",
1957
"00110000",
1958
"10001001",
1959
"11110000",
1960
"00000000",
1961
"10001100",
1962
"11110000",
1963
"10100000",
1964
"00100111",
1965
"00100010",
1966
"11101110",
1967
"10000100",
1968
"00010000",
1969
"10001110",
1970
"01010101",
1971
"10101010",
1972
"00010000",
1973
"10101111",
1974
"10000100",
1975
"00010000",
1976
"10101100",
1977
"10000100",
1978
"00100110",
1979
"11101001",
1980
"11101111",
1981
"10000100",
1982
"00010000",
1983
"10001110",
1984
"11011111",
1985
"11010000",
1986
"00011111",
1987
"00010000",
1988
"01000100",
1989
"01000100",
1990
"01000100",
1991
"01000100",
1992
"00011111",
1993
"10001001",
1994
"10001000",
1995
"00001111",
1996
"10100111",
1997
"10100101",
1998
"00100000",
1999
"11010101",
2000
"10000110",
2001
"11110001",
2002
"00010000",
2003
"10001110",
2004
"11011111",
2005
"11010000",
2006
"10100111",
2007
"00101110",
2008
"10000110",
2009
"00001100",
2010
"11100110",
2011
"10100110",
2012
"00100110",
2013
"00000101",
2014
"01001010",
2015
"00101010",
2016
"11111001",
2017
"00100000",
2018
"00010100",
2019
"01101111",
2020
"10100110",
2021
"11100111",
2022
"00101100",
2023
"01001111",
2024
"00011111",
2025
"00100001",
2026
"11100110",
2027
"10100110",
2028
"00100111",
2029
"00000100",
2030
"01101111",
2031
"10100110",
2032
"11100111",
2033
"10000000",
2034
"01001100",
2035
"10000001",
2036
"00001100",
2037
"00101101",
2038
"11110011",
2039
"10001110",
2040
"11111111",
2041
"11110000",
2042
"11000110",
2043
"00010000",
2044
"10100110",
2045
"10100000",
2046
"10100111",
2047
"10000000",
2048
"01011010",
2049
"00100110",
2050
"11111001",
2051
"01010011",
2052
"11110111",
2053
"11011111",
2054
"11100010",
2055
"00010110",
2056
"11111000",
2057
"01100010",
2058
"01101110",
2059
"10011111",
2060
"11011111",
2061
"11000000",
2062
"01101110",
2063
"10011111",
2064
"11011111",
2065
"11000100",
2066
"01101110",
2067
"10011111",
2068
"11011111",
2069
"11000110",
2070
"01101110",
2071
"10011111",
2072
"11011111",
2073
"11001000",
2074
"01101110",
2075
"10011111",
2076
"11011111",
2077
"11001010",
2078
"00011111",
2079
"01000011",
2080
"10101110",
2081
"01001010",
2082
"11100110",
2083
"10000000",
2084
"10101111",
2085
"01001010",
2086
"01001111",
2087
"01011000",
2088
"01001001",
2089
"10111110",
2090
"11011111",
2091
"11001100",
2092
"10001100",
2093
"11111111",
2094
"11111111",
2095
"00100111",
2096
"00001111",
2097
"00110000",
2098
"10001011",
2099
"10111100",
2100
"11011111",
2101
"11001110",
2102
"00100010",
2103
"00001000",
2104
"00110100",
2105
"00010000",
2106
"11101100",
2107
"11000100",
2108
"10101110",
2109
"01000100",
2110
"01101110",
2111
"11110001",
2112
"00110111",
2113
"00011111",
2114
"11101110",
2115
"01000010",
2116
"01101110",
2117
"10011111",
2118
"11011111",
2119
"11000010",
2120
"11111111",
2121
"10110010",
2122
"11111111",
2123
"11000110",
2124
"11111111",
2125
"10110110",
2126
"11111111",
2127
"10111010",
2128
"11111111",
2129
"10111110",
2130
"11111111",
2131
"11000010",
2132
"11111111",
2133
"10110010",
2134
"11111111",
2135
"00000000"
2136
);
2137
begin
2138
 
2139
   data <= rom_data(conv_integer(addr));
2140
 
2141
 
2142
end architecture;
2143
 

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.