OpenCores
URL https://opencores.org/ocsvn/System09/System09/trunk

Subversion Repositories System09

[/] [System09/] [trunk/] [rtl/] [Spartan3/] [ram2k_b16.vhd] - Blame information for rev 199

Go to most recent revision | Details | Compare with Previous | View Log

Line No. Rev Author Line
1 99 davidgb
--===========================================================================--
2
--                                                                           --
3
--            Synthesizable 2K RAM using Xilinx RAMB16_S9 Block RAM          --
4
--                                                                           --
5
--===========================================================================--
6
--
7
--  File name      : ram2k_b16.vhd
8
--
9
--  Entity name    : ram_2k
10
--
11
--  Purpose        : Implements 2KBytes of RAM using one Xilinx RAMB16_S9 Block RAM
12
--                   Essentially a wrapper for a 2KByte RAM block for different 
13
--                   technology FPGAs. Used in vdu8.vhd for the System09 SoC as a 
14
--                   character buffer and attribute RAM. Initiatized with rubbish 
15
--                   so that the VDU displays random characters on start up to
16
--                   indicate it is working correctly.
17
--
18
--  Dependencies   : ieee.std_logic_1164
19
--                   ieee.std_logic_arith
20
--
21
--  Uses           : RAMB16_S9 (Xilinx 16KBit Block RAM)
22
--
23
--  Author         : John E. Kent
24
--
25
--  Email          : dilbert57@opencores.org      
26
--
27
--  Web            : http://opencores.org/project,system09
28
--
29
--  Description    : Block RAM instatiation
30
--
31
--  Copyright (C) 2010 John Kent
32
--
33
--  This program is free software: you can redistribute it and/or modify
34
--  it under the terms of the GNU General Public License as published by
35
--  the Free Software Foundation, either version 3 of the License, or
36
--  (at your option) any later version.
37
--
38
--  This program is distributed in the hope that it will be useful,
39
--  but WITHOUT ANY WARRANTY; without even the implied warranty of
40
--  MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
41
--  GNU General Public License for more details.
42
--
43
--  You should have received a copy of the GNU General Public License
44
--  along with this program.  If not, see <http://www.gnu.org/licenses/>.
45
--
46
--===========================================================================--
47
--                                                                           --
48
--                              Revision  History                            --
49
--                                                                           --
50
--===========================================================================--
51
--
52
-- Version Date        Author     Changes
53
--
54
-- 0.1     2010-06-17  John Kent  Added GPL and header
55
--
56 19 dilbert57
library IEEE;
57
use IEEE.STD_LOGIC_1164.ALL;
58
use IEEE.STD_LOGIC_ARITH.ALL;
59
library unisim;
60
        use unisim.vcomponents.all;
61
 
62
entity ram_2k is
63
    Port (
64 99 davidgb
       clk      : in  std_logic;
65
       rst      : in  std_logic;
66
       cs       : in  std_logic;
67
       addr     : in  std_logic_vector (10 downto 0);
68
       rw       : in  std_logic;
69
       data_in  : in  std_logic_vector (7 downto 0);
70
       data_out : out std_logic_vector (7 downto 0)
71 19 dilbert57
    );
72
end ram_2k;
73
 
74
architecture rtl of ram_2k is
75
 
76
 
77
signal we : std_logic;
78
signal dp : std_logic;
79
 
80
begin
81
 
82
  ROM : RAMB16_S9
83
    generic map (
84
    INIT_00 => x"0000000000000000000000000000000000000000000000000000000000000000",
85
    INIT_01 => x"17431FE4A7D0866AAFDD8C30FB265AE26F0CC67A0217E0DFBF04E08EF9265AA0",
86
    INIT_02 => x"051774FE8E260517F62A5A19048B0327856D0DC64FD0DF8E7505175FFE8EBE05",
87
    INIT_03 => x"17408B981F7305175E86092C2081891FF1270D817F846505174605177BFE8E5C",
88
    INIT_04 => x"201E05177DFE8EF5264FFE8C02300F2780E113FE8E20C0022F60C16705176C05",
89
    INIT_05 => x"83FE8E310417290417210417190417110417FF041783FE8E3B341FBC2094ADC0",
90
    INIT_06 => x"ED0317394AAF02295704171705172704174804164104173A0417330417EA0417",
91
    INIT_07 => x"17ED0417E703173946AF02293B0417FB04170004173948AF0229490417090517",
92
    INIT_08 => x"0229220417D10417F503173943A70229300417DF0417CE03173944AF02292D04",
93
    INIT_09 => x"C4A7808A0429060417B50417E303173941A70229140417C30417DD03173942A7",
94
    INIT_0A => x"03178E0417260417A4A6960417260417211F5F041783FE8E121F2D29EB031739",
95
    INIT_0B => x"173F866F04170827A4A1A4A7390F260D8117275E81DD271881E12708811128DF",
96
    INIT_0C => x"24E1AC203406298B031705201F30C0DF8E321F350317BE203F31C22021316C04",
97
    INIT_0D => x"8E103439623203272704170527E4AC011FF0C4201F0634F0C41000C3101F3901",
98
    INIT_0E => x"80A610C6E1AE100417F5265A180417B0031780A610C6AF0317E4AEE8031783FE",
99
    INIT_0F => x"2562AC7B2930342B0317E26FE26FBF20EE265A0104172E8602237E8104252081",
100
    INIT_10 => x"A0E8E0EB023464E3201F62AE10F125E4AC10A0A7E0AB043464E3201FE8031777",
101
    INIT_11 => x"3903175003174701171035880317A1FE8E10344C03173F3085031783FE8E3C27",
102
    INIT_12 => x"AC101A268303173E0317A6FE8E981F6C03178FFE8E2E031764AE77031787FE8E",
103
    INIT_13 => x"1E29B102173966328C26646C9026656C62AE100B267403178603172B86B325E4",
104
    INIT_14 => x"173984A73F86A4AFA0A709273F8184A60F271035558DFFFF8E10341A24C0DF8C",
105
    INIT_15 => x"8D4AAF0427268D1F304AAE431F39FB265A188D08C6E3DF8E104703163F864A03",
106
    INIT_16 => x"A0A7A0A7A0A7FF8684A7A4A604263F8184A60A24C0DF8C21AE9AFD16E4FD1706",
107
    INIT_17 => x"F0B714F0B7FF8624F0B7DE86393D3139F7265A0427A1ACA0A608C6E3DF8E1039",
108
    INIT_18 => x"B68A001720F0B70986FB2B20F0B697001720F0B7D88610F07D16F0B715F0B710",
109
    INIT_19 => x"F0BFFFFE8E00F0FD5343101F40F0B7108A528D00C08ECA261085F926018520F0",
110
    INIT_1A => x"0A2A10F07D5F04345F528D20F0B78C8622F0B7018614F0B7FE8610F0B7FF8602",
111
    INIT_1B => x"341F4AAF00C08E24F0F7DEC63901271C8520F0B604358A20F0265A0435F8265A",
112
    INIT_1C => x"0F8462A65858585853A6E6E4E754545454A6E6D0DF8E104444444462A636343B",
113
    INIT_1D => x"013000008E03C614E07F18E07D390435FD265A20C6043439363562E762EA62A7",
114
    INIT_1E => x"B78C86298D1AE0B70186F92601C518E0F6378D18E0B70F86F6265AF92600008C",
115
    INIT_1F => x"C08E3901272CC5F02601C518E0F680A71BE0B6052702C5092000C08E228D18E0",
116
    INIT_20 => x"3981A60117F9265381AD0117E2DF7FDD0117118639FD265A20C63B341F4AAF00",
117
    INIT_21 => x"0434E46AE46AE4EBE0EBE0E610342129FF001726290234170117F12631813D27",
118
    INIT_22 => x"738F01173F86B227FFC102355FEB2080A70527E46AE0EB02340C290435FD0017",
119
    INIT_23 => x"A3E4EC7101171286E4AF0130462562AC4A293034B80017E26F8701161386E2DF",
120
    INIT_24 => x"EBDA001762AEE70017981F03CB1A0117EBFE8E64E720C6022320008310062762",
121
    INIT_25 => x"322F01171486C326E4AC62AFCD0017981F53F526646AD7001780A684EB63EB62",
122
    INIT_26 => x"43A6DF0017CCFE8EA1001648AEEA0017BAFE8EAC0016311FF50017AEFE8E3965",
123
    INIT_27 => x"AEBE0017B4FE8E80001646AEC90017C0FE8E8B001644AED40017C6FE8E9E0016",
124
    INIT_28 => x"8EC4A6A00017DCFE8E6A2042A6AA0017D7FE8E742041A6B40017D2FE8E76204A",
125
    INIT_29 => x"39103561A710343D29098D011F43290F8DBF00172D86121F4E29098D7320E3FE",
126
    INIT_2A => x"393080032239811D2530816F8D39E0AB04342829078D891F484848483229118D",
127
    INIT_2B => x"35028D0235103439021A39578003226681072561813937800322468112254181",
128
    INIT_2C => x"25E46880A608C602345720078B022F3981308B0F840235048D44444444023402",
129
    INIT_2D => x"8180A6318D391035058D75FE8E10340C20028D390235F1265A458D498D2D8602",
130
    INIT_2E => x"3439103501A6FA27018584A6E0DFBE10341F207F84048D0627E2DF7D39F82604",
131
    INIT_2F => x"39103501A70235FA27028584A6E0DFBE12342086008D3902350185E0DF9FA602",
132
    INIT_30 => x"1007F90431F90315F90223F90139E2DFB7FF86016D84A7118684A70386E0DFBE",
133
    INIT_31 => x"67FC5041F94D0CFC4CA5F84796F945F4FA447BFA42EBF819F9F818DDF815CFF8",
134
    INIT_32 => x"00FFFFFFFFB3FAA7F8A7F8A7F8A7F8B3FAA7FA58B3FB558AF953A8F852F2F951",
135
    INIT_33 => x"414857043E040000000A0D4B04202D20382E31204755422D530000000A0D0000",
136
    INIT_34 => x"203A524F525245204E492053544942202C042053534150202C04202D20043F54",
137
    INIT_35 => x"043D53552020043D43502020043D50532020303132333435363704203E3D2004",
138
    INIT_36 => x"43432020043D422020043D412020043D50442020043D58492020043D59492020",
139
    INIT_37 => x"000000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFF04315343565A4E4948464504203A",
140
    INIT_38 => x"300B2784AC1084AF1084EEAA558E10A0D08E84A7F086FB264A80A70F86F0FF8E",
141
    INIT_39 => x"2DA7D0DF8E10C0DFCE10FDFFB74444444443101F84EFD620ED26A0F08C00F089",
142
    INIT_3A => x"1084AF10AA558E1084EE2227A0F08C00F08930FB2A4AA66F0C862FA7F0862E6F",
143
    INIT_3B => x"2EA7D0DF8E10F186D520A5A70F88891F44444444101FD0DF8E1084EFE92684AC",
144
    INIT_3C => x"8EF32D0C814C80E7A66F0427A6E6211F4F2CE7A66F1420F92A4A0526A6E60C86",
145
    INIT_3D => x"9F6EC6DF9F6EC4DF9F6EC0DF9F6E62F816E2DFF753F9265A80A7A0A610C6F0FF",
146
    INIT_3E => x"0822CEDFBC8B300F27FFFF8CCCDFBE49584F4AAF80E64AAE431FCADF9F6EC8DF",
147
    INIT_3F => x"00FFB2FFC2FFBEFFBAFFB6FFC6FFB2FFC2DF9F6E42EE1F37F16E44AEC4EC1034"
148
    )
149
 
150
    port map (
151 99 davidgb
          do     => data_out,
152 19 dilbert57
          dop(0) => dp,
153
          addr   => addr,
154
          clk    => clk,
155 99 davidgb
          di     => data_in,
156 19 dilbert57
          dip(0) => dp,
157
          en     => cs,
158
          ssr    => rst,
159
          we     => we
160
        );
161
 
162
my_ram_2k : process ( rw )
163
begin
164
         we    <= not rw;
165
end process;
166
 
167
end architecture rtl;
168
 

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.