OpenCores
URL https://opencores.org/ocsvn/System09/System09/trunk

Subversion Repositories System09

[/] [System09/] [trunk/] [rtl/] [Spartan3/] [sbug_rom2k_slice.vhd] - Blame information for rev 206

Go to most recent revision | Details | Compare with Previous | View Log

Line No. Rev Author Line
1 19 dilbert57
--=========================================================================
2
 
3
--
4
 
5
--  S Y N T H E Z I A B L E    SBUG - Monitor ROM for System09.
6
 
7
--
8
 
9
--=========================================================================
10
 
11
--
12
--  www.OpenCores.Org - September 2003
13
 
14
--  This core adheres to the GNU public license  
15
 
16
-- 
17
 
18
--         FILE NAME: sbug_rom2k_slice.vhd
19
 
20
--       ENTITY NAME: mon_rom
21
 
22
-- ARCHITECTURE NAME: rtl
23
 
24
--           VERSION: 1.0
25
 
26
--            AUTHOR: John E. Kent
27
 
28
--              DATE: 15 December 2002
29
 
30
--      DEPENDENCIES: ieee.Std_Logic_1164
31
 
32
--                    ieee.std_logic_unsigned
33
 
34
--                    ieee.std_logic_arith
35
 
36
--       DESCRIPTION: 2048 byte x 8 bit ROM Monitor program
37
 
38
--                    for the System09 using distributed RAM.
39
 
40
--                    ROM  sits at $F800
41
 
42
--                    ACIA sits at $E004
43
 
44
--                    DAT  sits at $FFF0
45
 
46
--         REVISIONS: 28th Jan 2007 
47
--                    Made entity compatible with Block RAM version
48
--
49
 
50
library ieee;
51
 
52
        use ieee.std_logic_1164.all;
53
 
54
        use ieee.std_logic_arith.all;
55
 
56
        use ieee.std_logic_unsigned.all;
57
 
58
 
59
library unisim;
60
        use unisim.vcomponents.all;
61
 
62
entity mon_rom is
63
    Port (
64
       clk   : in  std_logic;
65
       rst   : in  std_logic;
66
       cs    : in  std_logic;
67
       rw    : in  std_logic;
68
       addr  : in  std_logic_vector (10 downto 0);
69
       wdata : in  std_logic_vector (7 downto 0);
70
       rdata : out std_logic_vector (7 downto 0)
71
    );
72
end mon_rom;
73
 
74
architecture rtl of mon_rom is
75
 
76
 
77
  constant width   : integer := 8;
78
 
79
  constant memsize : integer := 2048;
80
 
81
 
82
  type rom_array is array(0 to memsize-1) of std_logic_vector(width-1 downto 0);
83
 
84
 
85
 
86
  constant rom_data : rom_array :=
87
(
88
 
89
"11111000",
90
"00010100",
91
"11111000",
92
"01100001",
93
"11111101",
94
"11001111",
95
"11111101",
96
"11001001",
97
"11111101",
98
"11011111",
99
"11111101",
100
"11101110",
101
"11111101",
102
"10111101",
103
"11111101",
104
"10110001",
105
"11111101",
106
"10101101",
107
"11111011",
108
"10000001",
109
"10001110",
110
"11111110",
111
"01001111",
112
"00010000",
113
"10001110",
114
"11011111",
115
"11000000",
116
"11000110",
117
"00010000",
118
"10100110",
119
"10000000",
120
"10100111",
121
"10100000",
122
"01011010",
123
"00100110",
124
"11111001",
125
"10001110",
126
"11100000",
127
"00000100",
128
"10111111",
129
"11011111",
130
"11100000",
131
"00010111",
132
"00000010",
133
"01111010",
134
"11000110",
135
"00001100",
136
"01101111",
137
"11100010",
138
"01011010",
139
"00100110",
140
"11111011",
141
"00110000",
142
"10001100",
143
"11011101",
144
"10101111",
145
"01101010",
146
"10000110",
147
"11010000",
148
"10100111",
149
"11100100",
150
"00011111",
151
"01000011",
152
"00010111",
153
"00000101",
154
"10111110",
155
"10001110",
156
"11111110",
157
"01011111",
158
"00010111",
159
"00000101",
160
"01110101",
161
"10001110",
162
"11011111",
163
"11010000",
164
"01001111",
165
"11000110",
166
"00001101",
167
"01101101",
168
"10000101",
169
"00100111",
170
"00000011",
171
"10001011",
172
"00000100",
173
"00011001",
174
"01011010",
175
"00101010",
176
"11110110",
177
"00010111",
178
"00000101",
179
"00100110",
180
"10001110",
181
"11111110",
182
"01110100",
183
"00010111",
184
"00000101",
185
"01011100",
186
"10001110",
187
"11111110",
188
"01111011",
189
"00010111",
190
"00000101",
191
"01000110",
192
"00010111",
193
"00000101",
194
"01100101",
195
"10000100",
196
"01111111",
197
"10000001",
198
"00001101",
199
"00100111",
200
"11110001",
201
"00011111",
202
"10001001",
203
"10000001",
204
"00100000",
205
"00101100",
206
"00001001",
207
"10000110",
208
"01011110",
209
"00010111",
210
"00000101",
211
"01110011",
212
"00011111",
213
"10011000",
214
"10001011",
215
"01000000",
216
"00010111",
217
"00000101",
218
"01101100",
219
"00010111",
220
"00000101",
221
"01100111",
222
"11000001",
223
"01100000",
224
"00101111",
225
"00000010",
226
"11000000",
227
"00100000",
228
"10001110",
229
"11111110",
230
"00010011",
231
"11100001",
232
"10000000",
233
"00100111",
234
"00001111",
235
"00110000",
236
"00000010",
237
"10001100",
238
"11111110",
239
"01001111",
240
"00100110",
241
"11110101",
242
"10001110",
243
"11111110",
244
"01111101",
245
"00010111",
246
"00000101",
247
"00011110",
248
"00100000",
249
"11000000",
250
"10101101",
251
"10010100",
252
"00100000",
253
"10111100",
254
"00011111",
255
"00110100",
256
"00111011",
257
"10001110",
258
"11111110",
259
"10000011",
260
"00010111",
261
"00000100",
262
"11111111",
263
"00010111",
264
"00000100",
265
"00010001",
266
"00010111",
267
"00000100",
268
"00011001",
269
"00010111",
270
"00000100",
271
"00100001",
272
"00010111",
273
"00000100",
274
"00101001",
275
"00010111",
276
"00000100",
277
"00110001",
278
"10001110",
279
"11111110",
280
"10000011",
281
"00010111",
282
"00000100",
283
"11101010",
284
"00010111",
285
"00000100",
286
"00110011",
287
"00010111",
288
"00000100",
289
"00111010",
290
"00010111",
291
"00000100",
292
"01000001",
293
"00010110",
294
"00000100",
295
"01001000",
296
"00010111",
297
"00000100",
298
"00100111",
299
"00010111",
300
"00000101",
301
"00010111",
302
"00010111",
303
"00000100",
304
"01010111",
305
"00101001",
306
"00000010",
307
"10101111",
308
"01001010",
309
"00111001",
310
"00010111",
311
"00000011",
312
"11101101",
313
"00010111",
314
"00000101",
315
"00001001",
316
"00010111",
317
"00000100",
318
"01001001",
319
"00101001",
320
"00000010",
321
"10101111",
322
"01001000",
323
"00111001",
324
"00010111",
325
"00000100",
326
"00000000",
327
"00010111",
328
"00000100",
329
"11111011",
330
"00010111",
331
"00000100",
332
"00111011",
333
"00101001",
334
"00000010",
335
"10101111",
336
"01000110",
337
"00111001",
338
"00010111",
339
"00000011",
340
"11100111",
341
"00010111",
342
"00000100",
343
"11101101",
344
"00010111",
345
"00000100",
346
"00101101",
347
"00101001",
348
"00000010",
349
"10101111",
350
"01000100",
351
"00111001",
352
"00010111",
353
"00000011",
354
"11001110",
355
"00010111",
356
"00000100",
357
"11011111",
358
"00010111",
359
"00000100",
360
"00110000",
361
"00101001",
362
"00000010",
363
"10100111",
364
"01000011",
365
"00111001",
366
"00010111",
367
"00000011",
368
"11110101",
369
"00010111",
370
"00000100",
371
"11010001",
372
"00010111",
373
"00000100",
374
"00100010",
375
"00101001",
376
"00000010",
377
"10100111",
378
"01000010",
379
"00111001",
380
"00010111",
381
"00000011",
382
"11011101",
383
"00010111",
384
"00000100",
385
"11000011",
386
"00010111",
387
"00000100",
388
"00010100",
389
"00101001",
390
"00000010",
391
"10100111",
392
"01000001",
393
"00111001",
394
"00010111",
395
"00000011",
396
"11100011",
397
"00010111",
398
"00000100",
399
"10110101",
400
"00010111",
401
"00000100",
402
"00000110",
403
"00101001",
404
"00000100",
405
"10001010",
406
"10000000",
407
"10100111",
408
"11000100",
409
"00111001",
410
"00010111",
411
"00000011",
412
"11101011",
413
"00101001",
414
"00101101",
415
"00011111",
416
"00010010",
417
"10001110",
418
"11111110",
419
"10000011",
420
"00010111",
421
"00000100",
422
"01011111",
423
"00011111",
424
"00100001",
425
"00010111",
426
"00000100",
427
"00100110",
428
"00010111",
429
"00000100",
430
"10010110",
431
"10100110",
432
"10100100",
433
"00010111",
434
"00000100",
435
"00100110",
436
"00010111",
437
"00000100",
438
"10001110",
439
"00010111",
440
"00000011",
441
"11011111",
442
"00101000",
443
"00010001",
444
"10000001",
445
"00001000",
446
"00100111",
447
"11100001",
448
"10000001",
449
"00011000",
450
"00100111",
451
"11011101",
452
"10000001",
453
"01011110",
454
"00100111",
455
"00010111",
456
"10000001",
457
"00001101",
458
"00100110",
459
"00001111",
460
"00111001",
461
"10100111",
462
"10100100",
463
"10100001",
464
"10100100",
465
"00100111",
466
"00001000",
467
"00010111",
468
"00000100",
469
"01101111",
470
"10000110",
471
"00111111",
472
"00010111",
473
"00000100",
474
"01101100",
475
"00110001",
476
"00100001",
477
"00100000",
478
"11000010",
479
"00110001",
480
"00111111",
481
"00100000",
482
"10111110",
483
"00010111",
484
"00000011",
485
"00110101",
486
"00011111",
487
"00110010",
488
"10001110",
489
"11011111",
490
"11000000",
491
"00110000",
492
"00011111",
493
"00100000",
494
"00000101",
495
"00010111",
496
"00000011",
497
"10001011",
498
"00101001",
499
"00000110",
500
"00110100",
501
"00100000",
502
"10101100",
503
"11100001",
504
"00100100",
505
"00000001",
506
"00111001",
507
"00011111",
508
"00010000",
509
"11000011",
510
"00000000",
511
"00010000",
512
"11000100",
513
"11110000",
514
"00110100",
515
"00000110",
516
"00011111",
517
"00100000",
518
"11000100",
519
"11110000",
520
"00011111",
521
"00000001",
522
"10101100",
523
"11100100",
524
"00100111",
525
"00000101",
526
"00010111",
527
"00000100",
528
"00100111",
529
"00100111",
530
"00000011",
531
"00110010",
532
"01100010",
533
"00111001",
534
"00110100",
535
"00010000",
536
"10001110",
537
"11111110",
538
"10000011",
539
"00010111",
540
"00000011",
541
"11101000",
542
"10101110",
543
"11100100",
544
"00010111",
545
"00000011",
546
"10101111",
547
"11000110",
548
"00010000",
549
"10100110",
550
"10000000",
551
"00010111",
552
"00000011",
553
"10110000",
554
"00010111",
555
"00000100",
556
"00011000",
557
"01011010",
558
"00100110",
559
"11110101",
560
"00010111",
561
"00000100",
562
"00010000",
563
"10101110",
564
"11100001",
565
"11000110",
566
"00010000",
567
"10100110",
568
"10000000",
569
"10000001",
570
"00100000",
571
"00100101",
572
"00000100",
573
"10000001",
574
"01111110",
575
"00100011",
576
"00000010",
577
"10000110",
578
"00101110",
579
"00010111",
580
"00000100",
581
"00000001",
582
"01011010",
583
"00100110",
584
"11101110",
585
"00100000",
586
"10111111",
587
"01101111",
588
"11100010",
589
"01101111",
590
"11100010",
591
"00010111",
592
"00000011",
593
"00101011",
594
"00110100",
595
"00110000",
596
"00101001",
597
"01111011",
598
"10101100",
599
"01100010",
600
"00100101",
601
"01110111",
602
"00010111",
603
"00000011",
604
"11101000",
605
"00011111",
606
"00100000",
607
"11100011",
608
"01100100",
609
"00110100",
610
"00000100",
611
"10101011",
612
"11100000",
613
"10100111",
614
"10100000",
615
"00010000",
616
"10101100",
617
"11100100",
618
"00100101",
619
"11110001",
620
"00010000",
621
"10101110",
622
"01100010",
623
"00011111",
624
"00100000",
625
"11100011",
626
"01100100",
627
"00110100",
628
"00000010",
629
"11101011",
630
"11100000",
631
"11101000",
632
"10100000",
633
"00100111",
634
"00111100",
635
"10001110",
636
"11111110",
637
"10000011",
638
"00010111",
639
"00000011",
640
"10000101",
641
"00110000",
642
"00111111",
643
"00010111",
644
"00000011",
645
"01001100",
646
"00110100",
647
"00010000",
648
"10001110",
649
"11111110",
650
"10100001",
651
"00010111",
652
"00000011",
653
"10001000",
654
"00110101",
655
"00010000",
656
"00010111",
657
"00000001",
658
"01000111",
659
"00010111",
660
"00000011",
661
"01010000",
662
"00010111",
663
"00000011",
664
"00111001",
665
"10001110",
666
"11111110",
667
"10000111",
668
"00010111",
669
"00000011",
670
"01110111",
671
"10101110",
672
"01100100",
673
"00010111",
674
"00000011",
675
"00101110",
676
"10001110",
677
"11111110",
678
"10001111",
679
"00010111",
680
"00000011",
681
"01101100",
682
"00011111",
683
"10011000",
684
"10001110",
685
"11111110",
686
"10100110",
687
"00010111",
688
"00000011",
689
"00111110",
690
"00010111",
691
"00000011",
692
"10000011",
693
"00100110",
694
"00011010",
695
"00010000",
696
"10101100",
697
"11100100",
698
"00100101",
699
"10110011",
700
"10000110",
701
"00101011",
702
"00010111",
703
"00000011",
704
"10000110",
705
"00010111",
706
"00000011",
707
"01110100",
708
"00100110",
709
"00001011",
710
"00010000",
711
"10101110",
712
"01100010",
713
"01101100",
714
"01100101",
715
"00100110",
716
"10010000",
717
"01101100",
718
"01100100",
719
"00100110",
720
"10001100",
721
"00110010",
722
"01100110",
723
"00111001",
724
"00010111",
725
"00000010",
726
"10110001",
727
"00101001",
728
"00011110",
729
"10001100",
730
"11011111",
731
"11000000",
732
"00100100",
733
"00011010",
734
"00110100",
735
"00010000",
736
"10001110",
737
"11111111",
738
"11111111",
739
"10001101",
740
"01010101",
741
"00110101",
742
"00010000",
743
"00100111",
744
"00001111",
745
"10100110",
746
"10000100",
747
"10000001",
748
"00111111",
749
"00100111",
750
"00001001",
751
"10100111",
752
"10100000",
753
"10101111",
754
"10100100",
755
"10000110",
756
"00111111",
757
"10100111",
758
"10000100",
759
"00111001",
760
"00010111",
761
"00000011",
762
"01001010",
763
"10000110",
764
"00111111",
765
"00010110",
766
"00000011",
767
"01000111",
768
"00010000",
769
"10001110",
770
"11011111",
771
"11100011",
772
"11000110",
773
"00001000",
774
"10001101",
775
"00011000",
776
"01011010",
777
"00100110",
778
"11111011",
779
"00111001",
780
"00011111",
781
"01000011",
782
"10101110",
783
"01001010",
784
"00110000",
785
"00011111",
786
"10001101",
787
"00100110",
788
"00100111",
789
"00000100",
790
"10101111",
791
"01001010",
792
"10001101",
793
"00000110",
794
"00010111",
795
"11111101",
796
"11100100",
797
"00010110",
798
"11111101",
799
"10011010",
800
"10101110",
801
"00100001",
802
"10001100",
803
"11011111",
804
"11000000",
805
"00100100",
806
"00001010",
807
"10100110",
808
"10000100",
809
"10000001",
810
"00111111",
811
"00100110",
812
"00000100",
813
"10100110",
814
"10100100",
815
"10100111",
816
"10000100",
817
"10000110",
818
"11111111",
819
"10100111",
820
"10100000",
821
"10100111",
822
"10100000",
823
"10100111",
824
"10100000",
825
"00111001",
826
"00010000",
827
"10001110",
828
"11011111",
829
"11100011",
830
"11000110",
831
"00001000",
832
"10100110",
833
"10100000",
834
"10101100",
835
"10100001",
836
"00100111",
837
"00000100",
838
"01011010",
839
"00100110",
840
"11110111",
841
"00111001",
842
"00110001",
843
"00111101",
844
"00111001",
845
"10000110",
846
"11011110",
847
"10110111",
848
"11110000",
849
"00100100",
850
"10000110",
851
"11111111",
852
"10110111",
853
"11110000",
854
"00010100",
855
"10110111",
856
"11110000",
857
"00010000",
858
"10110111",
859
"11110000",
860
"00010101",
861
"10110111",
862
"11110000",
863
"00010110",
864
"01111101",
865
"11110000",
866
"00010000",
867
"10000110",
868
"11011000",
869
"10110111",
870
"11110000",
871
"00100000",
872
"00010111",
873
"00000000",
874
"10010111",
875
"10110110",
876
"11110000",
877
"00100000",
878
"00101011",
879
"11111011",
880
"10000110",
881
"00001001",
882
"10110111",
883
"11110000",
884
"00100000",
885
"00010111",
886
"00000000",
887
"10001010",
888
"10110110",
889
"11110000",
890
"00100000",
891
"10000101",
892
"00000001",
893
"00100110",
894
"11111001",
895
"10000101",
896
"00010000",
897
"00100110",
898
"11001010",
899
"10001110",
900
"11000000",
901
"00000000",
902
"10001101",
903
"01010010",
904
"10001010",
905
"00010000",
906
"10110111",
907
"11110000",
908
"01000000",
909
"00011111",
910
"00010000",
911
"01000011",
912
"01010011",
913
"11111101",
914
"11110000",
915
"00000000",
916
"10001110",
917
"11111110",
918
"11111111",
919
"10111111",
920
"11110000",
921
"00000010",
922
"10000110",
923
"11111111",
924
"10110111",
925
"11110000",
926
"00010000",
927
"10000110",
928
"11111110",
929
"10110111",
930
"11110000",
931
"00010100",
932
"10000110",
933
"00000001",
934
"10110111",
935
"11110000",
936
"00100010",
937
"10000110",
938
"10001100",
939
"10110111",
940
"11110000",
941
"00100000",
942
"10001101",
943
"01010010",
944
"01011111",
945
"00110100",
946
"00000100",
947
"01011111",
948
"01111101",
949
"11110000",
950
"00010000",
951
"00101010",
952
"00001010",
953
"01011010",
954
"00100110",
955
"11111000",
956
"00110101",
957
"00000100",
958
"01011010",
959
"00100110",
960
"11110000",
961
"00100000",
962
"10001010",
963
"00110101",
964
"00000100",
965
"10110110",
966
"11110000",
967
"00100000",
968
"10000101",
969
"00011100",
970
"00100111",
971
"00000001",
972
"00111001",
973
"11000110",
974
"11011110",
975
"11110111",
976
"11110000",
977
"00100100",
978
"10001110",
979
"11000000",
980
"00000000",
981
"10101111",
982
"01001010",
983
"00011111",
984
"00110100",
985
"00111011",
986
"00110100",
987
"00110110",
988
"10100110",
989
"01100010",
990
"01000100",
991
"01000100",
992
"01000100",
993
"01000100",
994
"00010000",
995
"10001110",
996
"11011111",
997
"11010000",
998
"11100110",
999
"10100110",
1000
"01010100",
1001
"01010100",
1002
"01010100",
1003
"01010100",
1004
"11100111",
1005
"11100100",
1006
"11100110",
1007
"10100110",
1008
"01010011",
1009
"01011000",
1010
"01011000",
1011
"01011000",
1012
"01011000",
1013
"10100110",
1014
"01100010",
1015
"10000100",
1016
"00001111",
1017
"10100111",
1018
"01100010",
1019
"11101010",
1020
"01100010",
1021
"11100111",
1022
"01100010",
1023
"00110101",
1024
"00110110",
1025
"00111001",
1026
"00110100",
1027
"00000100",
1028
"11000110",
1029
"00100000",
1030
"01011010",
1031
"00100110",
1032
"11111101",
1033
"00110101",
1034
"00000100",
1035
"00111001",
1036
"01111101",
1037
"11100000",
1038
"00011000",
1039
"01111111",
1040
"11100000",
1041
"00010100",
1042
"11000110",
1043
"00000011",
1044
"10001110",
1045
"00000000",
1046
"00000000",
1047
"00110000",
1048
"00000001",
1049
"10001100",
1050
"00000000",
1051
"00000000",
1052
"00100110",
1053
"11111001",
1054
"01011010",
1055
"00100110",
1056
"11110110",
1057
"10000110",
1058
"00001111",
1059
"10110111",
1060
"11100000",
1061
"00011000",
1062
"10001101",
1063
"00110111",
1064
"11110110",
1065
"11100000",
1066
"00011000",
1067
"11000101",
1068
"00000001",
1069
"00100110",
1070
"11111001",
1071
"10000110",
1072
"00000001",
1073
"10110111",
1074
"11100000",
1075
"00011010",
1076
"10001101",
1077
"00101001",
1078
"10000110",
1079
"10001100",
1080
"10110111",
1081
"11100000",
1082
"00011000",
1083
"10001101",
1084
"00100010",
1085
"10001110",
1086
"11000000",
1087
"00000000",
1088
"00100000",
1089
"00001001",
1090
"11000101",
1091
"00000010",
1092
"00100111",
1093
"00000101",
1094
"10110110",
1095
"11100000",
1096
"00011011",
1097
"10100111",
1098
"10000000",
1099
"11110110",
1100
"11100000",
1101
"00011000",
1102
"11000101",
1103
"00000001",
1104
"00100110",
1105
"11110000",
1106
"11000101",
1107
"00101100",
1108
"00100111",
1109
"00000001",
1110
"00111001",
1111
"10001110",
1112
"11000000",
1113
"00000000",
1114
"10101111",
1115
"01001010",
1116
"00011111",
1117
"00110100",
1118
"00111011",
1119
"11000110",
1120
"00100000",
1121
"01011010",
1122
"00100110",
1123
"11111101",
1124
"00111001",
1125
"10000110",
1126
"00010001",
1127
"00010111",
1128
"00000001",
1129
"11011101",
1130
"01111111",
1131
"11011111",
1132
"11100010",
1133
"00010111",
1134
"00000001",
1135
"10101101",
1136
"10000001",
1137
"01010011",
1138
"00100110",
1139
"11111001",
1140
"00010111",
1141
"00000001",
1142
"10100110",
1143
"10000001",
1144
"00111001",
1145
"00100111",
1146
"00111101",
1147
"10000001",
1148
"00110001",
1149
"00100110",
1150
"11110001",
1151
"00010111",
1152
"00000001",
1153
"00010111",
1154
"00110100",
1155
"00000010",
1156
"00101001",
1157
"00100110",
1158
"00010111",
1159
"00000000",
1160
"11111111",
1161
"00101001",
1162
"00100001",
1163
"00110100",
1164
"00010000",
1165
"11100110",
1166
"11100000",
1167
"11101011",
1168
"11100000",
1169
"11101011",
1170
"11100100",
1171
"01101010",
1172
"11100100",
1173
"01101010",
1174
"11100100",
1175
"00110100",
1176
"00000100",
1177
"00010111",
1178
"00000000",
1179
"11111101",
1180
"00110101",
1181
"00000100",
1182
"00101001",
1183
"00001100",
1184
"00110100",
1185
"00000010",
1186
"11101011",
1187
"11100000",
1188
"01101010",
1189
"11100100",
1190
"00100111",
1191
"00000101",
1192
"10100111",
1193
"10000000",
1194
"00100000",
1195
"11101011",
1196
"01011111",
1197
"00110101",
1198
"00000010",
1199
"11000001",
1200
"11111111",
1201
"00100111",
1202
"10110010",
1203
"10000110",
1204
"00111111",
1205
"00010111",
1206
"00000001",
1207
"10001111",
1208
"01110011",
1209
"11011111",
1210
"11100010",
1211
"10000110",
1212
"00010011",
1213
"00010110",
1214
"00000001",
1215
"10000111",
1216
"01101111",
1217
"11100010",
1218
"00010111",
1219
"00000000",
1220
"10111000",
1221
"00110100",
1222
"00110000",
1223
"00101001",
1224
"01001010",
1225
"10101100",
1226
"01100010",
1227
"00100101",
1228
"01000110",
1229
"00110000",
1230
"00000001",
1231
"10101111",
1232
"11100100",
1233
"10000110",
1234
"00010010",
1235
"00010111",
1236
"00000001",
1237
"01110001",
1238
"11101100",
1239
"11100100",
1240
"10100011",
1241
"01100010",
1242
"00100111",
1243
"00000110",
1244
"00010000",
1245
"10000011",
1246
"00000000",
1247
"00100000",
1248
"00100011",
1249
"00000010",
1250
"11000110",
1251
"00100000",
1252
"11100111",
1253
"01100100",
1254
"10001110",
1255
"11111110",
1256
"11101011",
1257
"00010111",
1258
"00000001",
1259
"00011010",
1260
"11001011",
1261
"00000011",
1262
"00011111",
1263
"10011000",
1264
"00010111",
1265
"00000000",
1266
"11100111",
1267
"10101110",
1268
"01100010",
1269
"00010111",
1270
"00000000",
1271
"11011010",
1272
"11101011",
1273
"01100010",
1274
"11101011",
1275
"01100011",
1276
"11101011",
1277
"10000100",
1278
"10100110",
1279
"10000000",
1280
"00010111",
1281
"00000000",
1282
"11010111",
1283
"01101010",
1284
"01100100",
1285
"00100110",
1286
"11110101",
1287
"01010011",
1288
"00011111",
1289
"10011000",
1290
"00010111",
1291
"00000000",
1292
"11001101",
1293
"10101111",
1294
"01100010",
1295
"10101100",
1296
"11100100",
1297
"00100110",
1298
"11000011",
1299
"10000110",
1300
"00010100",
1301
"00010111",
1302
"00000001",
1303
"00101111",
1304
"00110010",
1305
"01100101",
1306
"00111001",
1307
"10001110",
1308
"11111110",
1309
"10101110",
1310
"00010111",
1311
"00000000",
1312
"11110101",
1313
"00011111",
1314
"00110001",
1315
"00010110",
1316
"00000000",
1317
"10101100",
1318
"10001110",
1319
"11111110",
1320
"10111010",
1321
"00010111",
1322
"00000000",
1323
"11101010",
1324
"10101110",
1325
"01001000",
1326
"00010110",
1327
"00000000",
1328
"10100001",
1329
"10001110",
1330
"11111110",
1331
"11001100",
1332
"00010111",
1333
"00000000",
1334
"11011111",
1335
"10100110",
1336
"01000011",
1337
"00010110",
1338
"00000000",
1339
"10011110",
1340
"10001110",
1341
"11111110",
1342
"11000110",
1343
"00010111",
1344
"00000000",
1345
"11010100",
1346
"10101110",
1347
"01000100",
1348
"00010110",
1349
"00000000",
1350
"10001011",
1351
"10001110",
1352
"11111110",
1353
"11000000",
1354
"00010111",
1355
"00000000",
1356
"11001001",
1357
"10101110",
1358
"01000110",
1359
"00010110",
1360
"00000000",
1361
"10000000",
1362
"10001110",
1363
"11111110",
1364
"10110100",
1365
"00010111",
1366
"00000000",
1367
"10111110",
1368
"10101110",
1369
"01001010",
1370
"00100000",
1371
"01110110",
1372
"10001110",
1373
"11111110",
1374
"11010010",
1375
"00010111",
1376
"00000000",
1377
"10110100",
1378
"10100110",
1379
"01000001",
1380
"00100000",
1381
"01110100",
1382
"10001110",
1383
"11111110",
1384
"11010111",
1385
"00010111",
1386
"00000000",
1387
"10101010",
1388
"10100110",
1389
"01000010",
1390
"00100000",
1391
"01101010",
1392
"10001110",
1393
"11111110",
1394
"11011100",
1395
"00010111",
1396
"00000000",
1397
"10100000",
1398
"10100110",
1399
"11000100",
1400
"10001110",
1401
"11111110",
1402
"11100011",
1403
"00100000",
1404
"01110011",
1405
"10001101",
1406
"00001001",
1407
"00101001",
1408
"01001110",
1409
"00011111",
1410
"00010010",
1411
"10000110",
1412
"00101101",
1413
"00010111",
1414
"00000000",
1415
"10111111",
1416
"10001101",
1417
"00001111",
1418
"00101001",
1419
"01000011",
1420
"00011111",
1421
"00000001",
1422
"10001101",
1423
"00001001",
1424
"00101001",
1425
"00111101",
1426
"00110100",
1427
"00010000",
1428
"10100111",
1429
"01100001",
1430
"00110101",
1431
"00010000",
1432
"00111001",
1433
"10001101",
1434
"00010001",
1435
"00101001",
1436
"00110010",
1437
"01001000",
1438
"01001000",
1439
"01001000",
1440
"01001000",
1441
"00011111",
1442
"10001001",
1443
"10001101",
1444
"00000111",
1445
"00101001",
1446
"00101000",
1447
"00110100",
1448
"00000100",
1449
"10101011",
1450
"11100000",
1451
"00111001",
1452
"10001101",
1453
"01101111",
1454
"10000001",
1455
"00110000",
1456
"00100101",
1457
"00011101",
1458
"10000001",
1459
"00111001",
1460
"00100010",
1461
"00000011",
1462
"10000000",
1463
"00110000",
1464
"00111001",
1465
"10000001",
1466
"01000001",
1467
"00100101",
1468
"00010010",
1469
"10000001",
1470
"01000110",
1471
"00100010",
1472
"00000011",
1473
"10000000",
1474
"00110111",
1475
"00111001",
1476
"10000001",
1477
"01100001",
1478
"00100101",
1479
"00000111",
1480
"10000001",
1481
"01100110",
1482
"00100010",
1483
"00000011",
1484
"10000000",
1485
"01010111",
1486
"00111001",
1487
"00011010",
1488
"00000010",
1489
"00111001",
1490
"00110100",
1491
"00010000",
1492
"00110101",
1493
"00000010",
1494
"10001101",
1495
"00000010",
1496
"00110101",
1497
"00000010",
1498
"00110100",
1499
"00000010",
1500
"01000100",
1501
"01000100",
1502
"01000100",
1503
"01000100",
1504
"10001101",
1505
"00000100",
1506
"00110101",
1507
"00000010",
1508
"10000100",
1509
"00001111",
1510
"10001011",
1511
"00110000",
1512
"10000001",
1513
"00111001",
1514
"00101111",
1515
"00000010",
1516
"10001011",
1517
"00000111",
1518
"00100000",
1519
"01010111",
1520
"00110100",
1521
"00000010",
1522
"11000110",
1523
"00001000",
1524
"10100110",
1525
"10000000",
1526
"01101000",
1527
"11100100",
1528
"00100101",
1529
"00000010",
1530
"10000110",
1531
"00101101",
1532
"10001101",
1533
"01001001",
1534
"10001101",
1535
"01000101",
1536
"01011010",
1537
"00100110",
1538
"11110001",
1539
"00110101",
1540
"00000010",
1541
"00111001",
1542
"10001101",
1543
"00000010",
1544
"00100000",
1545
"00001100",
1546
"00110100",
1547
"00010000",
1548
"10001110",
1549
"11111110",
1550
"01110101",
1551
"10001101",
1552
"00000101",
1553
"00110101",
1554
"00010000",
1555
"00111001",
1556
"10001101",
1557
"00110001",
1558
"10100110",
1559
"10000000",
1560
"10000001",
1561
"00000100",
1562
"00100110",
1563
"11111000",
1564
"00111001",
1565
"01111101",
1566
"11011111",
1567
"11100010",
1568
"00100111",
1569
"00000110",
1570
"10001101",
1571
"00000100",
1572
"10000100",
1573
"01111111",
1574
"00100000",
1575
"00011111",
1576
"00110100",
1577
"00010000",
1578
"10111110",
1579
"11011111",
1580
"11100000",
1581
"10100110",
1582
"10000100",
1583
"10000101",
1584
"00000001",
1585
"00100111",
1586
"11111010",
1587
"10100110",
1588
"00000001",
1589
"00110101",
1590
"00010000",
1591
"00111001",
1592
"00110100",
1593
"00000010",
1594
"10100110",
1595
"10011111",
1596
"11011111",
1597
"11100000",
1598
"10000101",
1599
"00000001",
1600
"00110101",
1601
"00000010",
1602
"00111001",
1603
"10001101",
1604
"00000000",
1605
"10000110",
1606
"00100000",
1607
"00110100",
1608
"00010010",
1609
"10111110",
1610
"11011111",
1611
"11100000",
1612
"10100110",
1613
"10000100",
1614
"10000101",
1615
"00000010",
1616
"00100111",
1617
"11111010",
1618
"00110101",
1619
"00000010",
1620
"10100111",
1621
"00000001",
1622
"00110101",
1623
"00010000",
1624
"00111001",
1625
"10111110",
1626
"11011111",
1627
"11100000",
1628
"10000110",
1629
"00000011",
1630
"10100111",
1631
"10000100",
1632
"10000110",
1633
"00010001",
1634
"10100111",
1635
"10000100",
1636
"01101101",
1637
"00000001",
1638
"10000110",
1639
"11111111",
1640
"10110111",
1641
"11011111",
1642
"11100010",
1643
"00111001",
1644
"00000001",
1645
"11111001",
1646
"00100011",
1647
"00000010",
1648
"11111001",
1649
"00010101",
1650
"00000011",
1651
"11111001",
1652
"00110001",
1653
"00000100",
1654
"11111001",
1655
"00000111",
1656
"00010000",
1657
"11111000",
1658
"11001111",
1659
"00010101",
1660
"11111000",
1661
"11011101",
1662
"00011000",
1663
"11111000",
1664
"11111001",
1665
"00011001",
1666
"11111000",
1667
"11101011",
1668
"01000010",
1669
"11111010",
1670
"01111011",
1671
"01000100",
1672
"11111010",
1673
"11110100",
1674
"01000101",
1675
"11111001",
1676
"10010110",
1677
"01000111",
1678
"11111000",
1679
"10100101",
1680
"01001100",
1681
"11111100",
1682
"00001100",
1683
"01001101",
1684
"11111001",
1685
"01000001",
1686
"01010000",
1687
"11111100",
1688
"01100111",
1689
"01010001",
1690
"11111001",
1691
"11110010",
1692
"01010010",
1693
"11111000",
1694
"10101000",
1695
"01010011",
1696
"11111001",
1697
"10001010",
1698
"01010101",
1699
"11111011",
1700
"10110011",
1701
"01011000",
1702
"11111010",
1703
"10100111",
1704
"11111010",
1705
"10110011",
1706
"11111000",
1707
"10100111",
1708
"11111000",
1709
"10100111",
1710
"11111000",
1711
"10100111",
1712
"11111000",
1713
"10100111",
1714
"11111010",
1715
"10110011",
1716
"11111111",
1717
"11111111",
1718
"11111111",
1719
"11111111",
1720
"00000000",
1721
"00000000",
1722
"00000000",
1723
"00001101",
1724
"00001010",
1725
"00000000",
1726
"00000000",
1727
"00000000",
1728
"01010011",
1729
"00101101",
1730
"01000010",
1731
"01010101",
1732
"01000111",
1733
"00100000",
1734
"00110001",
1735
"00101110",
1736
"00111000",
1737
"00100000",
1738
"00101101",
1739
"00100000",
1740
"00000100",
1741
"01001011",
1742
"00001101",
1743
"00001010",
1744
"00000000",
1745
"00000000",
1746
"00000000",
1747
"00000100",
1748
"00111110",
1749
"00000100",
1750
"01010111",
1751
"01001000",
1752
"01000001",
1753
"01010100",
1754
"00111111",
1755
"00000100",
1756
"00100000",
1757
"00101101",
1758
"00100000",
1759
"00000100",
1760
"00101100",
1761
"00100000",
1762
"01010000",
1763
"01000001",
1764
"01010011",
1765
"01010011",
1766
"00100000",
1767
"00000100",
1768
"00101100",
1769
"00100000",
1770
"01000010",
1771
"01001001",
1772
"01010100",
1773
"01010011",
1774
"00100000",
1775
"01001001",
1776
"01001110",
1777
"00100000",
1778
"01000101",
1779
"01010010",
1780
"01010010",
1781
"01001111",
1782
"01010010",
1783
"00111010",
1784
"00100000",
1785
"00000100",
1786
"00100000",
1787
"00111101",
1788
"00111110",
1789
"00100000",
1790
"00000100",
1791
"00110111",
1792
"00110110",
1793
"00110101",
1794
"00110100",
1795
"00110011",
1796
"00110010",
1797
"00110001",
1798
"00110000",
1799
"00100000",
1800
"00100000",
1801
"01010011",
1802
"01010000",
1803
"00111101",
1804
"00000100",
1805
"00100000",
1806
"00100000",
1807
"01010000",
1808
"01000011",
1809
"00111101",
1810
"00000100",
1811
"00100000",
1812
"00100000",
1813
"01010101",
1814
"01010011",
1815
"00111101",
1816
"00000100",
1817
"00100000",
1818
"00100000",
1819
"01001001",
1820
"01011001",
1821
"00111101",
1822
"00000100",
1823
"00100000",
1824
"00100000",
1825
"01001001",
1826
"01011000",
1827
"00111101",
1828
"00000100",
1829
"00100000",
1830
"00100000",
1831
"01000100",
1832
"01010000",
1833
"00111101",
1834
"00000100",
1835
"00100000",
1836
"00100000",
1837
"01000001",
1838
"00111101",
1839
"00000100",
1840
"00100000",
1841
"00100000",
1842
"01000010",
1843
"00111101",
1844
"00000100",
1845
"00100000",
1846
"00100000",
1847
"01000011",
1848
"01000011",
1849
"00111010",
1850
"00100000",
1851
"00000100",
1852
"01000101",
1853
"01000110",
1854
"01001000",
1855
"01001001",
1856
"01001110",
1857
"01011010",
1858
"01010110",
1859
"01000011",
1860
"01010011",
1861
"00110001",
1862
"00000100",
1863
"11111111",
1864
"11111111",
1865
"11111111",
1866
"11111111",
1867
"11111111",
1868
"11111111",
1869
"11111111",
1870
"11111111",
1871
"11111111",
1872
"11111111",
1873
"11111111",
1874
"11111111",
1875
"11111111",
1876
"11111111",
1877
"11111111",
1878
"00000000",
1879
"00000000",
1880
"00000000",
1881
"10001110",
1882
"11111111",
1883
"11110000",
1884
"10000110",
1885
"00001111",
1886
"10100111",
1887
"10000000",
1888
"01001010",
1889
"00100110",
1890
"11111011",
1891
"10000110",
1892
"11110000",
1893
"10100111",
1894
"10000100",
1895
"10001110",
1896
"11010000",
1897
"10100000",
1898
"00010000",
1899
"10001110",
1900
"01010101",
1901
"10101010",
1902
"11101110",
1903
"10000100",
1904
"00010000",
1905
"10101111",
1906
"10000100",
1907
"00010000",
1908
"10101100",
1909
"10000100",
1910
"00100111",
1911
"00001011",
1912
"00110000",
1913
"10001001",
1914
"11110000",
1915
"00000000",
1916
"10001100",
1917
"11110000",
1918
"10100000",
1919
"00100110",
1920
"11101101",
1921
"00100000",
1922
"11010110",
1923
"11101111",
1924
"10000100",
1925
"00011111",
1926
"00010000",
1927
"01000011",
1928
"01000100",
1929
"01000100",
1930
"01000100",
1931
"01000100",
1932
"10110111",
1933
"11111111",
1934
"11111101",
1935
"00010000",
1936
"11001110",
1937
"11011111",
1938
"11000000",
1939
"00010000",
1940
"10001110",
1941
"11011111",
1942
"11010000",
1943
"10100111",
1944
"00101101",
1945
"01101111",
1946
"00101110",
1947
"10000110",
1948
"11110000",
1949
"10100111",
1950
"00101111",
1951
"10000110",
1952
"00001100",
1953
"01101111",
1954
"10100110",
1955
"01001010",
1956
"00101010",
1957
"11111011",
1958
"00110000",
1959
"10001001",
1960
"11110000",
1961
"00000000",
1962
"10001100",
1963
"11110000",
1964
"10100000",
1965
"00100111",
1966
"00100010",
1967
"11101110",
1968
"10000100",
1969
"00010000",
1970
"10001110",
1971
"01010101",
1972
"10101010",
1973
"00010000",
1974
"10101111",
1975
"10000100",
1976
"00010000",
1977
"10101100",
1978
"10000100",
1979
"00100110",
1980
"11101001",
1981
"11101111",
1982
"10000100",
1983
"00010000",
1984
"10001110",
1985
"11011111",
1986
"11010000",
1987
"00011111",
1988
"00010000",
1989
"01000100",
1990
"01000100",
1991
"01000100",
1992
"01000100",
1993
"00011111",
1994
"10001001",
1995
"10001000",
1996
"00001111",
1997
"10100111",
1998
"10100101",
1999
"00100000",
2000
"11010101",
2001
"10000110",
2002
"11110001",
2003
"00010000",
2004
"10001110",
2005
"11011111",
2006
"11010000",
2007
"10100111",
2008
"00101110",
2009
"10000110",
2010
"00001100",
2011
"11100110",
2012
"10100110",
2013
"00100110",
2014
"00000101",
2015
"01001010",
2016
"00101010",
2017
"11111001",
2018
"00100000",
2019
"00010100",
2020
"01101111",
2021
"10100110",
2022
"11100111",
2023
"00101100",
2024
"01001111",
2025
"00011111",
2026
"00100001",
2027
"11100110",
2028
"10100110",
2029
"00100111",
2030
"00000100",
2031
"01101111",
2032
"10100110",
2033
"11100111",
2034
"10000000",
2035
"01001100",
2036
"10000001",
2037
"00001100",
2038
"00101101",
2039
"11110011",
2040
"10001110",
2041
"11111111",
2042
"11110000",
2043
"11000110",
2044
"00010000",
2045
"10100110",
2046
"10100000",
2047
"10100111",
2048
"10000000",
2049
"01011010",
2050
"00100110",
2051
"11111001",
2052
"01010011",
2053
"11110111",
2054
"11011111",
2055
"11100010",
2056
"00010110",
2057
"11111000",
2058
"01100010",
2059
"01101110",
2060
"10011111",
2061
"11011111",
2062
"11000000",
2063
"01101110",
2064
"10011111",
2065
"11011111",
2066
"11000100",
2067
"01101110",
2068
"10011111",
2069
"11011111",
2070
"11000110",
2071
"01101110",
2072
"10011111",
2073
"11011111",
2074
"11001000",
2075
"01101110",
2076
"10011111",
2077
"11011111",
2078
"11001010",
2079
"00011111",
2080
"01000011",
2081
"10101110",
2082
"01001010",
2083
"11100110",
2084
"10000000",
2085
"10101111",
2086
"01001010",
2087
"01001111",
2088
"01011000",
2089
"01001001",
2090
"10111110",
2091
"11011111",
2092
"11001100",
2093
"10001100",
2094
"11111111",
2095
"11111111",
2096
"00100111",
2097
"00001111",
2098
"00110000",
2099
"10001011",
2100
"10111100",
2101
"11011111",
2102
"11001110",
2103
"00100010",
2104
"00001000",
2105
"00110100",
2106
"00010000",
2107
"11101100",
2108
"11000100",
2109
"10101110",
2110
"01000100",
2111
"01101110",
2112
"11110001",
2113
"00110111",
2114
"00011111",
2115
"11101110",
2116
"01000010",
2117
"01101110",
2118
"10011111",
2119
"11011111",
2120
"11000010",
2121
"11111111",
2122
"10110010",
2123
"11111111",
2124
"11000110",
2125
"11111111",
2126
"10110110",
2127
"11111111",
2128
"10111010",
2129
"11111111",
2130
"10111110",
2131
"11111111",
2132
"11000010",
2133
"11111111",
2134
"10110010",
2135
"11111111",
2136
"00000000"
2137
);
2138
begin
2139
 
2140
   rdata <= rom_data(conv_integer(addr));
2141
 
2142
 
2143
end architecture;
2144
 

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.