OpenCores
URL https://opencores.org/ocsvn/System09/System09/trunk

Subversion Repositories System09

[/] [System09/] [trunk/] [rtl/] [System09_BurchED_B5-X300/] [System09_BurchED_B5-X300.ucf] - Blame information for rev 166

Go to most recent revision | Details | Compare with Previous | View Log

Line No. Rev Author Line
1 19 dilbert57
#### UCF file created by Project Navigator
2
#
3 108 davidgb
NET "rst_n"        LOC = "p57" ;
4
NET "clk_in"       LOC = "p77" ;
5 19 dilbert57
#
6
# For B5-Compact-Flash:
7
# Connector A
8
#
9
#NET "pin2"        LOC = "P3"  ; #J1-2
10
#NET "pin3"        LOC = "P4"  ; #J1-3
11
#NET "cf_intrq"    LOC = "P5"  ; #J1-4
12
NET "cf_wr_n"      LOC = "P6"  ; #J1-5
13
NET "cf_rd_n"      LOC = "P7"  ; #J1-6
14
NET "cf_cs1_n"     LOC = "P8"  ; #J1-7
15
NET "cf_d<15>"     LOC = "P9"  ; #J1-8
16
NET "cf_d<14>"     LOC = "P10" ; #J1-9
17
NET "cf_d<13>"     LOC = "P11" ; #J1-10
18
NET "cf_d<12>"     LOC = "P15" ; #J1-11
19
NET "cf_d<11>"     LOC = "P16" ; #J1-12
20
#NET "cf_present"  LOC = "P17" ; #J1-13
21
NET "cf_d<3>"      LOC = "P18" ; #J1-14
22
NET "cf_d<4>"      LOC = "P20" ; #J1-15
23
NET "cf_d<5>"      LOC = "P21" ; #J1-16
24
NET "cf_d<6>"      LOC = "P22" ; #J1-17
25
NET "cf_d<7>"      LOC = "P23" ; #J1-18
26
NET "cf_cs0_n"     LOC = "P24" ; #J1-19
27
#
28
# For B5-Compact-Flash:
29
# Connector B
30
#
31
NET "cf_a<2>"      LOC = "P33" ; #J2-6
32
NET "cf_a<1>"      LOC = "P34" ; #J2-7
33
NET "cf_a<0>"      LOC = "P35" ; #J2-8
34
NET "cf_d<0>"      LOC = "P36" ; #J2-9
35
NET "cf_d<1>"      LOC = "P40" ; #J2-10
36
NET "cf_d<2>"      LOC = "P41" ; #J2-11
37 22 dilbert57
#NET "cf_cs16_n"    LOC = "P42" ; #J2-12
38 19 dilbert57
NET "cf_d<10>"     LOC = "P43" ; #J2-13
39
NET "cf_d<9>"      LOC = "P44" ; #J2-14
40
NET "cf_d<8>"      LOC = "P45" ; #J2-15
41
#NET "cf_pdiag"    LOC = "P46" ; #J2-16
42
#NET "cf_dase"     LOC = "P47" ; #J2-17
43
#NET "cf_iordy"    LOC = "P48" ; #J2-18
44
NET "cf_rst_n"     LOC = "P49" ; #J2-19
45
#
46
# For B5-Peripheral-Connectors
47
# Connector C
48
#
49
NET "v_drive"     LOC = "p55" ; #pin 3
50
NET "h_drive"     LOC = "p56" ; #pin 4
51
NET "blue_lo"     LOC = "p58" ; #pin 5
52
NET "blue_hi"     LOC = "p59" ; #pin 6
53
NET "green_lo"    LOC = "p60" ; #pin 7
54
NET "green_hi"    LOC = "p61" ; #pin 8
55
NET "red_lo"      LOC = "p62" ; #pin 9
56
NET "red_hi"      LOC = "p63" ; #pin 10
57
NET "kb_clock"    LOC = "p64" ; #pin 11
58
NET "kb_data"     LOC = "p68" ; #pin 12
59
#NET "mouse-clock" LOC = "p69" ; #pin 13
60
#NET "mouse_data"  LOC = "p70" ; #pin 14
61
#NET "buzzer"      LOC = "p71" ; #pin 15
62
NET "cts_n"        LOC = "p73" ; #pin 16
63
NET "rxbit"        LOC = "p74" ; #pin 17
64
NET "txbit"        LOC = "p75" ; #pin 18
65
NET "rts_n"        LOC = "p81" ; #pin 19
66
#
67
# I/O Port
68
# Connector D
69
#
70
#NET "pin2clk"    LOC = "p80" ; #pin 2  (Clock input)
71
NET "led"         LOC = "p82" ; #pin 3
72
NET "porta<0>"    LOC = "p83" ; #pin 4
73
NET "porta<1>"    LOC = "p84" ; #pin 5
74
NET "porta<2>"    LOC = "p86" ; #pin 6
75
NET "porta<3>"    LOC = "p87" ; #pin 7
76
NET "porta<4>"    LOC = "p88" ; #pin 8
77
NET "porta<5>"    LOC = "p89" ; #pin 9
78
NET "porta<6>"    LOC = "p93" ; #pin 10
79
NET "porta<7>"    LOC = "p94" ; #pin 11
80
NET "portb<0>"    LOC = "p95" ; #pin 12
81
NET "portb<1>"    LOC = "p96" ; #pin 13
82
NET "portb<2>"    LOC = "p97" ; #pin 14
83
NET "portb<3>"    LOC = "p98" ; #pin 15
84
NET "portb<4>"    LOC = "p99" ; #pin 16
85
NET "portb<5>"    LOC = "p100"; #pin 17
86
NET "portb<6>"    LOC = "p101"; #pin 18
87
NET "portb<7>"    LOC = "p102"; #pin 19
88
#
89
# For B5-SRAM
90
# Connector E
91
#
92
NET "ram_csn"      LOC = "p108"; #J1.2
93
NET "ram_addr<16>" LOC = "p109"; #J1.3
94
NET "ram_addr<15>" LOC = "p110"; #J1.4
95
NET "ram_addr<14>" LOC = "p111"; #J1.5
96
NET "ram_addr<13>" LOC = "p112"; #J1.6
97
NET "ram_addr<12>" LOC = "p113"; #J1.7
98
NET "ram_addr<11>" LOC = "p114"; #J1.8
99
NET "ram_addr<10>" LOC = "p115"; #J1.9
100
NET "ram_addr<9>"  LOC = "p116"; #J1.10
101
NET "ram_addr<8>"  LOC = "p120"; #J1.11
102
NET "ram_addr<7>"  LOC = "p121"; #J1.12
103
NET "ram_addr<6>"  LOC = "p122"; #J1.13
104
NET "ram_addr<5>"  LOC = "p123"; #J1.14
105
NET "ram_addr<4>"  LOC = "p125"; #J1.15
106
NET "ram_addr<3>"  LOC = "p126"; #J1.16
107
NET "ram_addr<2>"  LOC = "p127"; #J1.17
108
NET "ram_addr<1>"  LOC = "p129"; #J1.18
109
NET "ram_addr<0>"  LOC = "p132"; #J1.19
110
#
111
# For B5-SRAM
112
# Connector F
113
#
114
NET "ram_wrun"     LOC = "p133"; #J2.2
115
NET "ram_wrln"     LOC = "p134"; #J2.3
116
NET "ram_data<15>" LOC = "p135"; #J2.4
117
NET "ram_data<14>" LOC = "p136"; #J2.5
118
NET "ram_data<13>" LOC = "p138"; #J2.6
119
NET "ram_data<12>" LOC = "p139"; #J2.7
120
NET "ram_data<11>" LOC = "p140"; #J2.8
121
NET "ram_data<10>" LOC = "p141"; #J2.9
122
NET "ram_data<9>"  LOC = "p145"; #J2.10
123
NET "ram_data<8>"  LOC = "p146"; #J2.11
124
NET "ram_data<7>"  LOC = "p147"; #J2.12
125
NET "ram_data<6>"  LOC = "p148"; #J2.13
126
NET "ram_data<5>"  LOC = "p149"; #J2.14
127
NET "ram_data<4>"  LOC = "p150"; #J2.15
128
NET "ram_data<3>"  LOC = "p151"; #J2.16
129
NET "ram_data<2>"  LOC = "p152"; #J2.17
130
NET "ram_data<1>"  LOC = "p153"; #J2.18
131
NET "ram_data<0>"  LOC = "p154"; #J2.19
132
#
133
# Connector G
134
#
135
#NET "pin2"         LOC = "p182"; #pin 2 (clk input)
136
NET "bus_addr<0>"  LOC = "p160"; #pin 3
137
NET "bus_addr<1>"  LOC = "p161"; #pin 4
138
NET "bus_addr<2>"  LOC = "p162"; #pin 5
139
NET "bus_addr<3>"  LOC = "p163"; #pin 6
140
NET "bus_addr<4>"  LOC = "p164"; #pin 7
141
NET "bus_addr<5>"  LOC = "p165"; #pin 8
142
NET "bus_addr<6>"  LOC = "p166"; #pin 9
143
NET "bus_addr<7>"  LOC = "p167"; #pin 10
144
NET "bus_addr<8>"  LOC = "p168"; #pin 11
145
NET "bus_addr<9>"  LOC = "p169"; #pin 12
146
NET "bus_addr<10>" LOC = "p173"; #pin 13
147
NET "bus_addr<11>" LOC = "p174"; #pin 14
148
NET "bus_addr<12>" LOC = "p175"; #pin 15
149
NET "bus_addr<13>" LOC = "p176"; #pin 16
150
NET "bus_addr<14>" LOC = "p178"; #pin 17
151
NET "bus_addr<15>" LOC = "p179"; #pin 18
152
NET "bus_cs"       LOC = "p180"; #pin 19
153
#
154
# Connector H
155
#
156
#NET "pin2"        LOC = "p185"; #pin 2 (clk input)
157
NET "bus_clk"      LOC = "p181"; #pin 3
158
NET "bus_reset"    LOC = "p187"; #pin 4
159
#NET "pin5"        LOC = "p188"; #pin 5
160
#NET "pin6"        LOC = "p189"; #pin 6
161
#NET "pin7"        LOC = "p191"; #pin 7
162
#NET "pin8"        LOC = "p192"; #pin 8
163
#NET "pin9"        LOC = "p193"; #pin 9
164 108 davidgb
#NET "pin10"     LOC = "p194"; #pin 10
165 19 dilbert57
NET "bus_data<0>"   LOC = "p198"; #pin 11
166
NET "bus_data<1>"   LOC = "p199"; #pin 12
167
NET "bus_data<2>"   LOC = "p200"; #pin 13
168
NET "bus_data<3>"   LOC = "p201"; #pin 14
169
NET "bus_data<4>"   LOC = "p202"; #pin 15
170
NET "bus_data<5>"   LOC = "p203"; #pin 16
171
NET "bus_data<6>"   LOC = "p204"; #pin 17
172
NET "bus_data<7>"   LOC = "p205"; #pin 18
173
NET "bus_rw"        LOC = "p206"; #pin 19
174
#
175
# Timing Groups
176
#
177
INST "ram_addr<0>" TNM = "gram_addr";
178
INST "ram_addr<1>" TNM = "gram_addr";
179
INST "ram_addr<2>" TNM = "gram_addr";
180
INST "ram_addr<3>" TNM = "gram_addr";
181
INST "ram_addr<4>" TNM = "gram_addr";
182
INST "ram_addr<5>" TNM = "gram_addr";
183
INST "ram_addr<6>" TNM = "gram_addr";
184
INST "ram_addr<7>" TNM = "gram_addr";
185
INST "ram_addr<8>" TNM = "gram_addr";
186
INST "ram_addr<9>" TNM = "gram_addr";
187
INST "ram_addr<10>" TNM = "gram_addr";
188
INST "ram_addr<11>" TNM = "gram_addr";
189
INST "ram_addr<12>" TNM = "gram_addr";
190
INST "ram_addr<13>" TNM = "gram_addr";
191
INST "ram_addr<14>" TNM = "gram_addr";
192
INST "ram_addr<15>" TNM = "gram_addr";
193
INST "ram_addr<16>" TNM = "gram_addr";
194
INST "ram_data<0>" TNM = "gram_data";
195
INST "ram_data<1>" TNM = "gram_data";
196
INST "ram_data<2>" TNM = "gram_data";
197
INST "ram_data<3>" TNM = "gram_data";
198
INST "ram_data<4>" TNM = "gram_data";
199
INST "ram_data<5>" TNM = "gram_data";
200
INST "ram_data<6>" TNM = "gram_data";
201
INST "ram_data<7>" TNM = "gram_data";
202
INST "ram_data<8>" TNM = "gram_data";
203
INST "ram_data<9>" TNM = "gram_data";
204
INST "ram_data<10>" TNM = "gram_data";
205
INST "ram_data<11>" TNM = "gram_data";
206
INST "ram_data<12>" TNM = "gram_data";
207
INST "ram_data<13>" TNM = "gram_data";
208
INST "ram_data<14>" TNM = "gram_data";
209
INST "ram_data<15>" TNM = "gram_data";
210
INST "ram_wrln" TNM = "gram_wr";
211
INST "ram_wrun" TNM = "gram_wr";
212
INST "ram_csn" TNM = "gram_cs";
213
#
214
# Timing Constraints
215
#
216 108 davidgb
#TIMEGRP "gram_cs"   OFFSET = OUT 40 ns AFTER "clk_in";
217
#TIMEGRP "gram_wr"   OFFSET = OUT 40 ns AFTER "clk_in";
218
#TIMEGRP "gram_addr" OFFSET = OUT 40 ns AFTER "clk_in";
219
#TIMEGRP "gram_data" OFFSET = OUT 40 ns AFTER "clk_in";
220
#TIMEGRP "gram_data" OFFSET = IN 15 ns BEFORE "clk_in";
221
#TIMEGRP "gtest_alu" OFFSET = OUT 90 ns AFTER "clk_in";
222
#TIMEGRP "gtest_cc"  OFFSET = OUT 95 ns AFTER "clk_in";
223
NET "clk_in" TNM_NET = "clk_in";
224
TIMESPEC "TS_clk_in" = PERIOD "clk_in" 20 ns LOW 50 %;
225 19 dilbert57
#
226
# Fast I/O Pins
227
#
228
NET "ram_csn" FAST;
229
NET "ram_wrln" FAST;
230
NET "ram_wrun" FAST;

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.