OpenCores
URL https://opencores.org/ocsvn/System09/System09/trunk

Subversion Repositories System09

[/] [System09/] [trunk/] [rtl/] [System09_Digilent_3S200/] [Makefile] - Blame information for rev 59

Go to most recent revision | Details | Compare with Previous | View Log

Line No. Rev Author Line
1 59 davidgb
#===================================================================
2
# File:        Makefile
3
# Author:      David Burnette
4
# Created:     July 5, 2007
5
#
6
# Description:
7
#  Makefile to build the System09 by John Kent
8
#
9
#  This makefile will build John Kent's entire System09 project
10
#  (RTL synthesis and monitor ROMs) and even download the final
11
#  bitstream to the prototype board.
12
#
13
#  You can use Xilinx ISE interactively to add new RTL source files
14
#  to this project.
15
#
16
# Usage:
17
#  Use 'make help' to get a list of options.
18
#
19
# Dependencies:
20
#  Depends on makefile fragments in the 'MKFRAGS' directory.
21
#
22
# Revision History:
23
#   dgb  2007-07-05  Original version
24
#
25
#   dgb  2008-04-07  Split out files into fragments. Modified
26
#                    ROM source generation to be per src directory.
27
#
28
#===================================================================
29
 
30
MKFRAGS := ../../mkfiles
31
export MKFRAGS
32
 
33
#===================================================================
34
# User-modifiable variables
35
#
36
# This name must match the name of the design in Xilinx ISE (case
37
# sensitive).
38
DESIGN_NAME := my_system09
39
#
40
# Constraint file (unfortunately it cannot be extracted from ISE)
41
UCF_FILE    := System09_Digilent_3S200.ucf
42
#
43
# Technology family (unfortunately it cannot be extracted from ISE)
44
FAMILY      := spartan3
45
 
46
# List of ROM VHDL files
47
.PHONY: roms
48
roms:
49
        @$(MAKE) -C ../../src/sys09bug sys09s3s.vhd
50
        @$(MAKE) -C ../../src/Flex9 flex9ram.vhd
51
 
52
#===================================================================
53
# You should not need to edit anything below this line
54
 
55
 
56
include ../../mkfiles/xilinx_rules.mk
57
 
58
#===================================================================
59
# TARGETS
60
 
61
.PHONY: all
62
all: bit
63
 
64
.PHONY: bit
65
bit: roms $(DESIGN_NAME).bit
66
 
67
.PHONY: impact
68
impact: roms bit do_impact
69
 
70
prom: roms $(DESIGN_NAME).mcs
71
 
72
.PHONY: help
73
help:
74
        @$(ECHO) "Use this Makefile to regenerate the entire System09 bitstream"
75
        @$(ECHO) "after modifying any of the source RTL or 6809 assembler code."
76
        @$(ECHO) ""
77
        @$(ECHO) "This makefile uses the following project files from the Xilinx ISE"
78
        @$(ECHO) "   $(XST_FILE)"
79
        @$(ECHO) ""
80
        @$(ECHO) "You use Xilinx ISE interactively to add new RTL source files."
81
        @$(ECHO) ""
82
        @$(ECHO) "            Availiable targets"
83
        @$(ECHO)
84
        @$(ECHO) "  For building all or part of the system:"
85
        @$(ECHO) "    roms      - Run asm09 and then generate the VHDL RTL rom files"
86
        @$(ECHO) "    bit       - Rebuild the entire system and generate the bitstream file"
87
        @$(ECHO) "    all       - Rebuild everything"
88
        @$(ECHO) "    prom      - Rebuild the entire system and generate an MCS prom file"
89
        @$(ECHO) "    exo       - Rebuild the entire system and generate an EXO prom file"
90
        @$(ECHO)
91
        @$(ECHO) "  For downloading the bitstream to the board:"
92
        @$(ECHO) "    impact    - Download the bitstream to the FPGA via iMPACT"
93
        @$(ECHO)
94
        @$(ECHO) "  For project maintenance:"
95
        @$(ECHO) "    help      - Print this help text"
96
        @$(ECHO) "    clean     - Clean up the ISE files"
97
        @$(ECHO) ""
98
 
99
.PHONY: clean
100
clean:
101
        -$(MAKE) -C ../../src/sys09bug clean
102
        -$(MAKE) -C ../../src/Flex9 clean
103
        -$(RM) *.ncd *.ngc *.ngd *.twr *.bit *.mcs *.stx *.ucf.untf *.mrp
104
        -$(RM) *.ncl *.ngm *.prm *_pad.txt *.twx *.log *.syr *.par *.exo *.xpi
105
        -$(RM) *.cmd_log *.ngr *.bld *_summary.html *.nc1 *.pcf *.bgn
106
        -$(RM) *.pad *.placed_ncd_tracker *.routed_ncd_tracker *_pad.csv *.drc
107
        -$(RM) *.pad_txt $(DESIGN_NAME)_impact.cmd *.unroutes
108
        -$(RMDIR) _ngo _xmsgs
109
 

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.