OpenCores
URL https://opencores.org/ocsvn/System09/System09/trunk

Subversion Repositories System09

[/] [System09/] [trunk/] [rtl/] [System09_Digilent_3S500E/] [Makefile] - Blame information for rev 206

Go to most recent revision | Details | Compare with Previous | View Log

Line No. Rev Author Line
1 59 davidgb
#===================================================================
2
# File:        Makefile
3
# Author:      David Burnette
4
# Created:     July 5, 2007
5
#
6
# Description:
7
#  Makefile to build the System09 by John Kent
8
#
9
#  This makefile will build John Kent's entire System09 project
10
#  (RTL synthesis and monitor ROMs) and even download the final
11
#  bitstream to the prototype board.
12
#
13
#  You can use Xilinx ISE interactively to add new RTL source files
14
#  to this project.
15
#
16
# Usage:
17
#  Use 'make help' to get a list of options.
18
#
19
# Dependencies:
20
#  Depends on makefile fragments in the 'MKFRAGS' directory.
21
#
22
# Revision History:
23
#   dgb  2007-07-05  Original version
24
#
25
#   dgb  2008-04-07  Split out files into fragments. Modified
26
#                    ROM source generation to be per src directory.
27
#
28
#===================================================================
29
 
30
MKFRAGS := ../../mkfiles
31
export MKFRAGS
32
 
33
#===================================================================
34
# User-modifiable variables
35
#
36
# This name must match the name of the design in Xilinx ISE (case
37
# sensitive).
38
DESIGN_NAME := my_system09
39
#
40
# Constraint file (unfortunately it cannot be extracted from ISE)
41
UCF_FILE    := System09_Digilent_3S500E.ucf
42
#
43
# Technology family (unfortunately it cannot be extracted from ISE)
44
FAMILY      := spartan3
45
 
46
# List of ROM VHDL files
47
.PHONY: roms
48
roms:
49
        @$(MAKE) -C ../../src/sys09bug sys09s3e.vhd
50
        @$(MAKE) -C ../../src/Flex9 flex9cf8.vhd
51
 
52
#===================================================================
53
# You should not need to edit anything below this line
54
 
55
include ../../mkfiles/xilinx_rules.mk
56
 
57
#===================================================================
58
# TARGETS
59
 
60
.PHONY: all
61
all: bit
62
 
63
.PHONY: bit
64
bit: roms $(DESIGN_NAME).bit
65
 
66
.PHONY: impact
67
impact: roms bit do_impact
68
 
69
prom: roms $(DESIGN_NAME).mcs
70
 
71
.PHONY: help
72
help:
73
        @$(ECHO) "Use this Makefile to regenerate the entire System09 bitstream"
74
        @$(ECHO) "after modifying any of the source RTL or 6809 assembler code."
75
        @$(ECHO) ""
76
        @$(ECHO) "This makefile uses the following project files from the Xilinx ISE"
77
        @$(ECHO) "   $(XST_FILE)"
78
        @$(ECHO) ""
79
        @$(ECHO) "You use Xilinx ISE interactively to add new RTL source files."
80
        @$(ECHO) ""
81
        @$(ECHO) "            Availiable targets"
82
        @$(ECHO)
83
        @$(ECHO) "  For building all or part of the system:"
84
        @$(ECHO) "    roms      - Run asm09 and then generate the VHDL RTL rom files"
85
        @$(ECHO) "    bit       - Rebuild the entire system and generate the bitstream file"
86
        @$(ECHO) "    all       - Rebuild everything"
87
        @$(ECHO) "    prom      - Rebuild the entire system and generate an MCS prom file"
88
        @$(ECHO) "    exo       - Rebuild the entire system and generate an EXO prom file"
89
        @$(ECHO)
90
        @$(ECHO) "  For downloading the bitstream to the board:"
91
        @$(ECHO) "    impact    - Download the bitstream to the FPGA via iMPACT"
92
        @$(ECHO)
93
        @$(ECHO) "  For project maintenance:"
94
        @$(ECHO) "    help      - Print this help text"
95
        @$(ECHO) "    clean     - Clean up the ISE files"
96
        @$(ECHO) ""
97
 
98
.PHONY: clean
99
clean:
100
        -$(MAKE) -C ../../src/sys09bug clean
101
        -$(MAKE) -C ../../src/Flex9 clean
102
        -$(RM) *.ncd *.ngc *.ngd *.twr *.bit *.mcs *.stx *.ucf.untf *.mrp
103
        -$(RM) *.ncl *.ngm *.prm *_pad.txt *.twx *.log *.syr *.par *.exo *.xpi
104
        -$(RM) *.cmd_log *.ngr *.bld *_summary.html *.nc1 *.pcf *.bgn
105
        -$(RM) *.pad *.placed_ncd_tracker *.routed_ncd_tracker *_pad.csv *.drc
106
        -$(RM) *.pad_txt $(DESIGN_NAME)_impact.cmd *.unroutes
107
        -$(RMDIR) _ngo _xmsgs
108
 

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.