OpenCores
URL https://opencores.org/ocsvn/System09/System09/trunk

Subversion Repositories System09

[/] [System09/] [trunk/] [rtl/] [System09_Memec_XC2V1000/] [Makefile] - Blame information for rev 206

Go to most recent revision | Details | Compare with Previous | View Log

Line No. Rev Author Line
1 59 davidgb
#===================================================================
2
# File:        Makefile
3
# Author:      David Burnette
4
# Created:     July 5, 2007
5
#
6
# Description:
7
#  Makefile to build the System09 by John Kent
8
#
9
#  This makefile will build John Kent's entire System09 project
10
#  (RTL synthesis and monitor ROMs) and even download the final
11
#  bitstream to the prototype board.
12
#
13
#  You can use Xilinx ISE interactively to add new RTL source files
14
#  to this project.
15
#
16
# Usage:
17
#  Use 'make help' to get a list of options.
18
#
19
# Dependencies:
20
#  Depends on makefile fragments in the 'MKFRAGS' directory.
21
#
22
# Revision History:
23
#   dgb  2008-04-13  Original version
24
#
25
#===================================================================
26
 
27
MKFRAGS := ../../mkfiles
28
export MKFRAGS
29
 
30
#===================================================================
31
# User-modifiable variables
32
#
33
# This name must match the name of the design in Xilinx ISE (case
34
# sensitive).
35
DESIGN_NAME := my_system09
36
#
37
# Constraint file (unfortunately it cannot be extracted from ISE)
38
UCF_FILE    := my_system09.ucf
39
#
40
# Technology family (unfortunately it cannot be extracted from ISE)
41
FAMILY      := virtex2
42
 
43
# List of ROM VHDL files
44
.PHONY: roms
45
roms:
46
        @$(MAKE) -C ../../src/sys09bug sys09s3s.vhd
47
        @$(MAKE) -C ../../src/Flex9 flex9ram.vhd
48
 
49
#===================================================================
50
# You should not need to edit anything below this line
51
 
52
 
53
include ../../mkfiles/xilinx_rules.mk
54
 
55
#===================================================================
56
# TARGETS
57
 
58
.PHONY: all
59
all: bit
60
 
61
.PHONY: bit
62
bit: roms $(DESIGN_NAME).bit
63
 
64
.PHONY: impact
65
impact: roms bit do_impact
66
 
67
prom: roms $(DESIGN_NAME).mcs
68
 
69
.PHONY: help
70
help:
71
        @$(ECHO) "Use this Makefile to regenerate the entire System09 bitstream"
72
        @$(ECHO) "after modifying any of the source RTL or 6809 assembler code."
73
        @$(ECHO) ""
74
        @$(ECHO) "This makefile uses the following project files from the Xilinx ISE"
75
        @$(ECHO) "   $(XST_FILE)"
76
        @$(ECHO) ""
77
        @$(ECHO) "You use Xilinx ISE interactively to add new RTL source files."
78
        @$(ECHO) ""
79
        @$(ECHO) "            Availiable targets"
80
        @$(ECHO)
81
        @$(ECHO) "  For building all or part of the system:"
82
        @$(ECHO) "    roms      - Run asm09 and then generate the VHDL RTL rom files"
83
        @$(ECHO) "    bit       - Rebuild the entire system and generate the bitstream file"
84
        @$(ECHO) "    all       - Rebuild everything"
85
        @$(ECHO) "    prom      - Rebuild the entire system and generate an MCS prom file"
86
        @$(ECHO) "    exo       - Rebuild the entire system and generate an EXO prom file"
87
        @$(ECHO)
88
        @$(ECHO) "  For downloading the bitstream to the board:"
89
        @$(ECHO) "    impact    - Download the bitstream to the FPGA via iMPACT"
90
        @$(ECHO)
91
        @$(ECHO) "  For project maintenance:"
92
        @$(ECHO) "    help      - Print this help text"
93
        @$(ECHO) "    clean     - Clean up the ISE files"
94
        @$(ECHO) ""
95
 
96
.PHONY: clean
97
clean:
98
        -$(MAKE) -C ../../src/sys09bug clean
99
        -$(MAKE) -C ../../src/Flex9 clean
100
        -$(RM) *.ncd *.ngc *.ngd *.twr *.bit *.mcs *.stx *.ucf.untf *.mrp
101
        -$(RM) *.ncl *.ngm *.prm *_pad.txt *.twx *.log *.syr *.par *.exo *.xpi
102
        -$(RM) *.cmd_log *.ngr *.bld *_summary.html *.nc1 *.pcf *.bgn
103
        -$(RM) *.pad *.placed_ncd_tracker *.routed_ncd_tracker *_pad.csv *.drc
104
        -$(RM) *.pad_txt $(DESIGN_NAME)_impact.cmd *.unroutes
105
        -$(RMDIR) _ngo _xmsgs
106
 

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.