OpenCores
URL https://opencores.org/ocsvn/System09/System09/trunk

Subversion Repositories System09

[/] [System09/] [trunk/] [rtl/] [System09_Xess_XSA-3S1000/] [Makefile] - Blame information for rev 69

Go to most recent revision | Details | Compare with Previous | View Log

Line No. Rev Author Line
1 59 davidgb
#===================================================================
2
# File:        Makefile
3
# Author:      David Burnette
4
# Created:     July 5, 2007
5
#
6
# Description:
7
#  Makefile to build the System09 by John Kent
8
#
9
#  This makefile will build John Kent's entire System09 project
10
#  (RTL synthesis and monitor ROMs) and even download the final
11
#  bitstream to the prototype board.
12
#
13
#  You can use Xilinx ISE interactively to add new RTL source files
14
#  to this project.
15
#
16
# Usage:
17
#  Use 'make help' to get a list of options.
18
#
19
# Dependencies:
20
#  Depends on makefile fragments in the 'MKFRAGS' directory.
21
#
22
# Revision History:
23
#   dgb  2007-07-05  Original version
24
#
25
#   dgb  2008-04-07  Split out files into fragments. Modified
26
#                    ROM source generation to be per src directory.
27
#
28
#===================================================================
29
 
30
MKFRAGS := ../../mkfiles
31
export MKFRAGS
32
 
33
#===================================================================
34
# User-modifiable variables
35
#
36
# This name must match the name of the design in Xilinx ISE (case
37
# sensitive).
38
DESIGN_NAME := my_system09
39
#
40
# Constraint file (unfortunately it cannot be extracted from ISE)
41
UCF_FILE    := XSA-3S1000.ucf
42
#
43
# Technology family (unfortunately it cannot be extracted from ISE)
44
FAMILY      := spartan3
45
 
46
# List of ROM VHDL files
47
.PHONY: roms
48
roms:
49
        @$(MAKE) -C ../../src/sys09bug sys09xes.vhd
50
        @$(MAKE) -C ../../src/Flex9 flex9ide.vhd
51
 
52
#===================================================================
53
# You should not need to edit anything below this line
54
 
55
# XESS Tools
56 69 davidgb
ifeq "$(findstring CYGWIN_NT,$(shell uname -s))" "CYGWIN_NT"
57
XESSPATH := $(shell cygpath "$(XSTOOLS_BIN_DIR)")
58
else
59
XESSPATH := $(XSTOOLS_BIN_DIR)
60
endif
61
XSLOAD     := "$(XESSPATH)/xsload.exe"
62 59 davidgb
 
63
include ../../mkfiles/xilinx_rules.mk
64
 
65
#===================================================================
66
# TARGETS
67
 
68
.PHONY: all
69
all: bit
70
 
71
.PHONY: bit
72
bit: roms $(DESIGN_NAME).bit
73
 
74
.PHONY: impact
75
impact: roms bit do_impact
76
 
77
prom: roms $(DESIGN_NAME).mcs
78
 
79
.PHONY: xsload
80
xsload: roms $(DESIGN_NAME).bit
81
        @$(ECHO)
82
        @$(ECHO) "======= Downloading bitstream to XSA-3S1000 using XSLOAD (parallel) ="
83
        $(XSLOAD) -p 0 -b xsa-3s1000 -fpga $<
84
 
85
usbxsload.bit: roms $(DESIGN_NAME).bit
86
        @$(ECHO)
87
        @$(ECHO) "======= Generating special bitstream with StartUpClk=JtagClk ========"
88
        $(GREP) -v StartUpClk $(BITGEN_OPTIONS_FILE) >tmp.ut
89
        $(ECHO) "-g StartUpClk:JtagClk" >>tmp.ut
90
        $(BITGEN) $(BITGEN_FLAGS) -f tmp.ut $(DESIGN_NAME).ncd usbxsload.bit
91
 
92
.PHONY: usbxsload
93
usbxsload: roms usbxsload.bit
94
        @$(ECHO)
95
        @$(ECHO) "======= Downloading bitstream to XSA-3S1000 using XSLOAD (USB) ======"
96
        $(XSLOAD) -usb 0 -b xsa-3s1000 -fpga usbxsload.bit
97
 
98
.PHONY: usbflash0
99
usbflash0: roms prom $(DESIGN_NAME).bit
100
        $(XSLOAD) -usb 0 -b xsa-3s1000 -flash $(DESIGN_NAME).mcs
101
 
102
.PHONY: help
103
help:
104
        @$(ECHO) "Use this Makefile to regenerate the entire System09 bitstream"
105
        @$(ECHO) "after modifying any of the source RTL or 6809 assembler code."
106
        @$(ECHO) ""
107
        @$(ECHO) "This makefile uses the following project files from the Xilinx ISE"
108
        @$(ECHO) "   $(XST_FILE)"
109
        @$(ECHO) ""
110
        @$(ECHO) "You use Xilinx ISE interactively to add new RTL source files."
111
        @$(ECHO) ""
112
        @$(ECHO) "            Availiable targets"
113
        @$(ECHO)
114
        @$(ECHO) "  For building all or part of the system:"
115
        @$(ECHO) "    roms      - Run asm09 and then generate the VHDL RTL rom files"
116
        @$(ECHO) "    bit       - Rebuild the entire system and generate the bitstream file"
117
        @$(ECHO) "    all       - Rebuild everything"
118
        @$(ECHO) "    prom      - Rebuild the entire system and generate an MCS prom file"
119
        @$(ECHO) "    exo       - Rebuild the entire system and generate an EXO prom file"
120
        @$(ECHO)
121
        @$(ECHO) "  For downloading the bitstream to the board:"
122
        @$(ECHO) "    xsload    - Download the bitstream to the FPGA via XSLOAD"
123
        @$(ECHO) "    usbxsload - Download the bitstream to the FPGA via usbXSLOAD"
124
        @$(ECHO) "    usbflash0 - Download the bitstream Flash slot 0 via usbXSLOAD"
125
        @$(ECHO) "    impact    - Download the bitstream to the FPGA via iMPACT"
126
        @$(ECHO)
127
        @$(ECHO) "  For project maintenance:"
128
        @$(ECHO) "    help      - Print this help text"
129
        @$(ECHO) "    clean     - Clean up the ISE files"
130
        @$(ECHO) ""
131
 
132
.PHONY: clean
133
clean:
134
        -$(MAKE) -C ../../src/sys09bug clean
135
        -$(MAKE) -C ../../src/Flex9 clean
136
        -$(RM) *.ncd *.ngc *.ngd *.twr *.bit *.mcs *.stx *.ucf.untf *.mrp
137
        -$(RM) *.ncl *.ngm *.prm *_pad.txt *.twx *.log *.syr *.par *.exo *.xpi
138
        -$(RM) *.cmd_log *.ngr *.bld *_summary.html *.nc1 *.pcf *.bgn
139
        -$(RM) *.pad *.placed_ncd_tracker *.routed_ncd_tracker *_pad.csv *.drc
140
        -$(RM) *.pad_txt $(DESIGN_NAME)_impact.cmd *.unroutes
141
        -$(RMDIR) _ngo _xmsgs
142
 

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.