OpenCores
URL https://opencores.org/ocsvn/System09/System09/trunk

Subversion Repositories System09

[/] [System09/] [trunk/] [rtl/] [VHDL/] [vdu8.vhd] - Blame information for rev 223

Go to most recent revision | Details | Compare with Previous | View Log

Line No. Rev Author Line
1 99 davidgb
--===========================================================================--
2
--                                                                           --
3
--  vdu8.vhd - Synthesizable Colour Video Display Unit for System09          --
4
--                                                                           --
5
--===========================================================================--
6
--
7
--  File name      : vdu8.vhd
8
--
9
--  Purpose        : Implements a text based Colour Video Display Unit for System09
10
--                   Supports 2KByte Text buffer and 2KByte Attribute memory
11
--                   Displays 80 characters across by 25 character rows
12
--                   Characters are 8 pixels across x 16 lines down.
13
--                   Character attribute bita for foreground and backgrond colour
14
--                   1 bit for each Blue Green and Red signal
15
--                   Supports 2 x 8 chunky graphics character mode.
16
--                   Uses Generic arguments for setting the video synchronization timing.
17
--                  
18 118 dilbert57
--  Dependencies   : ieee.std_logic_1164
19 99 davidgb
--                   ieee.numeric_std
20
--
21
--  Uses           : ram_2k (ram2k_b16.vhd)             2KByte Character & Attribute buffer
22
--                   char_rom (char_rom2k_b16.vhd)      2KByte Character Generator ROM 
23
--
24
--  Author         : John E. Kent
25
--
26
--  Email          : dilbert57@opencores.org      
27
--
28
--  Web            : http://opencores.org/project,system09
29
--
30
--  Description    : Display Timing:
31
--                       800 pixels / line
32
--                       446 lines / frame
33
--                       None interlaced
34
--                       25MHz pixel clock implies 
35
--                       31.25 KHz line rate
36
--                       70.067 Hz frame rate   
37
--                       Timing settable by generics.
38
--
39
--                   Display Size:
40
--                       80 characters across
41
--                       25 characters down.
42
--
43
--                   Character Size:
44
--                        8 horizontal pixels across
45
--                       16 vertical scan lines down (2 scan lines/row)
46
--
47
--                   Registers:
48
--                   Base + 0 ASCII character register
49
--                            Writing to this register writes an 8 bit byte 
50
--                            into the text buffer at the specified cursor position
51
--                            Text Mode: ASCII Character (0 to 127)
52
--                            Chunky Graphics Mode: B0 B1 (0 to 255)
53
--                                                  B2 B3
54
--                                                  B4 B5
55
--                                                  B6 B7
56
--                   Base + 1 Attibute bit (0 to 255)
57
--                            Writing to the register writes an 8 bit byte 
58
--                            into the attribute buffer at the specified cursor position
59
--                            B7 - 0 => Text Mode / 1 => Chunky Graphics Mode
60
--                            B6 - 1 => Character Background Blue
61
--                            B5 - 1 => Character Background Green
62
--                            B4 - 1 => Character Background Red
63
--                            B3 - 1 => Character Background & Foreground Alternates
64
--                            B2 - 1 => Character Foreground Blue
65
--                            B1 - 1 => Character Foreground Green
66
--                            B0 - 1 => Character Foreground Red
67
--                   Base + 2 Cursor Horizontal Position (0 to 79)
68
--                   Base + 3 Cusror Vertical Position (0 to 24)
69
--                   Base + 4 Vertical Scroll Offset (0 to 24)
70
--                            Scrolls the display up by the specified number of character rows
71
--
72
--  Video Timing :
73
--
74
--  Horizontal 800 Pixels/ 25MHz Pixel Clock = 32usec Line period = 31.25 KHz Line Frequency
75
--  /--------------------------\_____________/---------------\______________/
76
--      640 Pixels Display       16 Pixel FP    96 Pixel HS     48 Pixel BP
77
--    
78
--      VGA_CLK_FREQ           : integer := 25000000; -- HZ
79
--           VGA_HOR_FRONT_PORCH    : integer := 16; -- PIXELS 0.64us (0.94us)
80
--           VGA_HOR_SYNC           : integer := 96; -- PIXELS 3.84us (3.77us)
81
--           VGA_HOR_BACK_PORCH     : integer := 48; -- PIXELS 1.92us (1.89us)
82
--           VGA_PIX_PER_CHAR       : integer := 8;  -- PIXELS 0.32us
83
--           VGA_HOR_CHARS          : integer := 80; -- CHARACTERS 25.6us
84
--
85
--  Vertical 446 Lines * 32 usec Line rate = 14.272ms Frame Period = 70.07Hz Frame frequency  
86
--  /---------------------------\____________/---------------\______________/
87
--      400 Line Display          10 Line FP     2 Line VS      34 Line BP
88
--
89
--           VGA_VER_FRONT_PORCH    : integer := 10; -- LINES 0.320ms
90
--           VGA_VER_SYNC           : integer := 2;  -- LINES 0.064ms
91
--           VGA_VER_BACK_PORCH     : integer := 34; -- LINES 1.088ms
92
--           VGA_LIN_PER_CHAR       : integer := 16; -- LINES 0.512ms
93
--           VGA_VER_CHARS          : integer := 25; -- CHARACTERS 12.8ms
94
--
95
--  Copyright (C) 2003 - 2010 John Kent
96
--
97
--  This program is free software: you can redistribute it and/or modify
98
--  it under the terms of the GNU General Public License as published by
99
--  the Free Software Foundation, either version 3 of the License, or
100
--  (at your option) any later version.
101
--
102
--  This program is distributed in the hope that it will be useful,
103
--  but WITHOUT ANY WARRANTY; without even the implied warranty of
104
--  MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
105
--  GNU General Public License for more details.
106
--
107
--  You should have received a copy of the GNU General Public License
108
--  along with this program.  If not, see <http://www.gnu.org/licenses/>.
109
--
110
--===========================================================================--
111
--                                                                           --
112
--                              Revision  History                            --
113
--                                                                           --
114
--===========================================================================--
115
--
116
-- Version Author      Date        Changes
117
--
118
-- 0.1     John Kent   2004-09-03  Initial release
119
--
120
-- 0.2     Bert Cuzeau 2007-01-16  Modified by for compliance and code cleanliness
121
--                                 The effort is not over.
122
--                                 There are still signal initialized, which is BAD.
123
--
124
-- 0.3     John Kent   2007-02-07  Added generics for VGA Timing
125
--
126
-- 0.4     John Kent   2010-07-03  Added GPL notice. 
127
--                                 Updated description.
128
--                                 Rearranged Video Timing
129
--
130
 
131
Library IEEE;
132
  use IEEE.std_logic_1164.all;
133
  use IEEE.numeric_std.all;
134 118 dilbert57
--Library unisim;
135
--  use unisim.vcomponents.all;
136 99 davidgb
 
137
Entity vdu8 is
138
  generic(
139
        VGA_CLK_FREQ           : integer := 25000000; -- HZ
140
             VGA_HOR_CHARS          : integer := 80; -- CHARACTERS 25.6us
141
             VGA_HOR_CHAR_PIXELS    : integer := 8;  -- PIXELS 0.32us
142
             VGA_HOR_FRONT_PORCH    : integer := 16; -- PIXELS 0.64us
143
             VGA_HOR_SYNC           : integer := 96; -- PIXELS 3.84us
144
             VGA_HOR_BACK_PORCH     : integer := 48; -- PIXELS 1.92us
145
             VGA_VER_CHARS          : integer := 25; -- CHARACTERS 12.8ms
146
             VGA_VER_CHAR_LINES     : integer := 16; -- LINES 0.512ms
147
             VGA_VER_FRONT_PORCH    : integer := 10; -- LINES 0.320ms
148
             VGA_VER_SYNC           : integer := 2;  -- LINES 0.064ms
149
             VGA_VER_BACK_PORCH     : integer := 34  -- LINES 1.088ms
150
  );
151
  port(
152
    -- control register interface
153
    vdu_clk      : in  std_logic;       -- 12.5/25 MHz CPU Clock
154
    vdu_rst      : in  std_logic;
155
    vdu_cs       : in  std_logic;
156
    vdu_rw       : in  std_logic;
157
    vdu_addr     : in  std_logic_vector(2 downto 0);
158
    vdu_data_in  : in  std_logic_vector(7 downto 0);
159
    vdu_data_out : out std_logic_vector(7 downto 0);
160
 
161
    -- vga port connections
162
    vga_clk      : in  std_logic;       -- 25MHz clock
163
    vga_red_o    : out std_logic;
164
    vga_green_o  : out std_logic;
165
    vga_blue_o   : out std_logic;
166
    vga_hsync_o  : out std_logic;
167
    vga_vsync_o  : out std_logic
168
    );
169
end vdu8;
170
 
171
Architecture RTL of vdu8 is
172
  --
173
  -- Synchronisation constants
174
  --
175
  -- Displayed Characters per row
176
  constant HOR_DISP_CHR : integer := VGA_HOR_CHARS;
177
  -- Last horizontal pixel displayed
178
  constant HOR_DISP_END : integer := (HOR_DISP_CHR * VGA_HOR_CHAR_PIXELS) - 1;
179
  -- Start of horizontal synch pulse
180
  constant HOR_SYNC_BEG : integer := HOR_DISP_END + VGA_HOR_FRONT_PORCH;
181
  -- End of Horizontal Synch pulse
182
  constant HOR_SYNC_END : integer := HOR_SYNC_BEG + VGA_HOR_SYNC;
183
  -- Last pixel in scan line
184
  constant HOR_SCAN_END : integer := HOR_SYNC_END + VGA_HOR_BACK_PORCH;
185
 
186
  -- Displayed Characters per Column
187
  constant VER_DISP_CHR : integer := VGA_VER_CHARS;
188
  -- last row displayed
189
  constant VER_DISP_END : integer := (VER_DISP_CHR * VGA_VER_CHAR_LINES) - 1;
190
  -- start of vertical synch pulse
191
  constant VER_SYNC_BEG : integer := VER_DISP_END + VGA_VER_FRONT_PORCH;
192
  -- end of vertical synch pulse
193
  constant VER_SYNC_END : integer := VER_SYNC_BEG + VGA_VER_SYNC;
194
  -- Last scan row in the frame
195
  constant VER_SCAN_END : integer := VER_SYNC_END + VGA_VER_BACK_PORCH;
196
 
197
  signal horiz_sync    : std_logic := '1';
198
  signal vert_sync     : std_logic := '1';
199
  signal cursor_on_v   : std_logic;
200
  signal cursor_on_h   : std_logic;
201
  signal video_on_v    : std_logic := '0';
202
  signal video_on_h    : std_logic := '0';
203
  signal h_count       : std_logic_vector(9 downto 0) := (others=>'0');
204
  signal v_count       : std_logic_vector(8 downto 0) := (others=>'0');  -- 0 to VER_SCAN_END
205
  signal blink_count   : std_logic_vector(22 downto 0):= (others=>'1');
206
  --
207
  -- Character generator ROM
208
  --
209
  signal char_addr     : std_logic_vector(10 downto 0);
210
  signal char_data_out : std_logic_vector(7 downto 0);
211
 
212
  --
213
  -- Control Registers
214
  --
215
  signal reg_character : std_logic_vector(7 downto 0);
216
  signal reg_colour    : std_logic_vector(7 downto 0);
217
  signal reg_hcursor   : std_logic_vector(6 downto 0);   -- 80 columns
218
  signal reg_vcursor   : std_logic_vector(4 downto 0);   -- 25 rows
219
  signal reg_voffset   : std_logic_vector(4 downto 0);   -- 25 rows
220
  --
221
  -- Video Shift register
222
  --
223
  signal vga_shift     : std_logic_vector(7 downto 0);
224
  signal vga_fg_colour : std_logic_vector(2 downto 0);
225
  signal vga_bg_colour : std_logic_vector(2 downto 0);
226
  signal cursor_on     : std_logic;
227
  signal cursor_on1    : std_logic;
228
  signal video_on      : std_logic := '0';
229
  signal video_on1     : std_logic := '0';
230
  signal video_on2     : std_logic := '0';
231
  --
232
  -- vga character ram access bus
233
  --
234
  signal col_addr      : std_logic_vector(6 downto 0) := (others=>'0'); -- 0 to 79
235
  signal row_addr      : unsigned(5 downto 0)         := (others=>'0'); -- 0 to 49 (25 * 2 -1)
236
  signal col1_addr     : std_logic_vector(6 downto 0) := (others=>'0'); -- 0 to 79
237
  signal row1_addr     : unsigned(5 downto 0)         := (others=>'0'); -- 0 to 49 (25 * 2 - 1)
238
  signal hor_addr      : std_logic_vector(6 downto 0) := (others=>'0'); -- 0 to 79
239
  signal ver_addr      : std_logic_vector(6 downto 0) := (others=>'0'); -- 0 to 124
240
  signal vga0_cs       : std_logic;
241
  signal vga0_rw       : std_logic;
242
  signal vga1_cs       : std_logic;
243
  signal vga1_rw       : std_logic;
244
  signal vga2_cs       : std_logic;
245
  signal vga2_rw       : std_logic;
246
  signal vga_cs        : std_logic;
247
  signal vga_rw        : std_logic;
248
  signal vga_addr      : std_logic_vector(10 downto 0) := (others=>'0');  -- 2K byte character buffer
249
  signal vga_data_out  : std_logic_vector(7 downto 0);
250
  signal attr_data_out : std_logic_vector(7 downto 0);
251
  --
252
  -- Character write handshake signals
253
  --
254
  signal req_write     : std_logic;     -- request character write
255
  signal ack_write     : std_logic;
256
 
257
  --
258
  -- Block Ram Character gen
259
  --
260
  component char_rom
261
    port (
262
      clk      : in  std_logic;
263
      rst      : in  std_logic;
264
      cs       : in  std_logic;
265
      rw       : in  std_logic;
266
      addr     : in  std_logic_vector (10 downto 0);
267
      data_in  : in std_logic_vector (7 downto 0);
268
      data_out : out std_logic_vector (7 downto 0)
269
      );
270
  end component;
271
 
272
  component ram_2k
273
    port (
274
      clk      : in  std_logic;
275
      rst      : in  std_logic;
276
      cs       : in  std_logic;
277
      rw       : in  std_logic;
278
      addr     : in  std_logic_vector (10 downto 0);
279
      data_in  : in  std_logic_vector (7 downto 0);
280
      data_out : out std_logic_vector (7 downto 0)
281
      );
282
  end component;
283
 
284
begin
285
 
286
--
287
-- instantiate Character generator ROM
288
--
289
vdu_char_rom : char_rom port map(
290
        clk      => vga_clk,
291
        rst      => vdu_rst,
292
        cs       => '1',
293
        rw       => '1',
294
        addr     => char_addr,
295
        data_in  => "00000000",
296
        data_out => char_data_out
297
    );
298
 
299
--
300
-- Character buffer RAM
301
--
302
char_buff_ram : ram_2k port map(
303
    clk      => vga_clk,
304
    rst      => vdu_rst,
305
    cs       => vga_cs,
306
    rw       => vga_rw,
307
    addr     => vga_addr,
308
    data_in  => reg_character,
309
    data_out => vga_data_out
310
    );
311
 
312
--
313
-- Attribute buffer RAM
314
--
315
attr_buff_ram : ram_2k port map(
316
    clk      => vga_clk,
317
    rst      => vdu_rst,
318
    cs       => vga_cs,
319
    rw       => vga_rw,
320
    addr     => vga_addr,
321
    data_in  => reg_colour,
322
    data_out => attr_data_out
323
    );
324
 
325
--
326
-- CPU Write interface
327
--
328
  vga_cpu_write : process(vdu_clk, vdu_rst)
329
  begin
330
    if vdu_rst = '1' then
331
      reg_character <= "00000000";
332
      reg_colour    <= "00000111";
333
      reg_hcursor   <= "0000000";
334
      reg_vcursor   <= "00000";
335
      reg_voffset   <= "00000";
336
      req_write     <= '0';
337
 
338
    elsif vdu_clk'event and vdu_clk = '0' then
339
      if (vdu_cs = '1') and (vdu_rw = '0') then
340
        case vdu_addr is
341
          when "000" =>
342
            reg_character <= vdu_data_in;
343
            req_write     <= '1';
344
          when "001" =>
345
            reg_colour    <= vdu_data_in;
346
          when "010" =>
347
            reg_hcursor   <= vdu_data_in(6 downto 0);
348
          when "011" =>
349
            reg_vcursor   <= vdu_data_in(4 downto 0);
350
          when others =>
351
            reg_voffset   <= vdu_data_in(4 downto 0);
352
        end case;
353
      else
354
 
355
        if (req_write = '1') and (ack_write = '1') then
356
          req_write <= '0';
357
        else
358
          req_write <= req_write;
359
        end if;
360
 
361
      end if;
362
    end if;
363
  end process;
364
--
365
-- CPU Read interface
366
--
367
  vga_cpu_read : process(vdu_addr, vdu_cs,
368
                          reg_character, reg_colour,
369
                          reg_hcursor, reg_vcursor, reg_voffset)
370
  begin
371
    case vdu_addr is
372
      when "000" =>
373
        vdu_data_out <= reg_character;
374
      when "001" =>
375
        vdu_data_out <= reg_colour;
376
      when "010" =>
377
        vdu_data_out <= "0" & reg_hcursor;
378
      when "011" =>
379
        vdu_data_out <= "000" & reg_vcursor;
380
      when others =>
381
        vdu_data_out <= "000" & reg_voffset;
382
    end case;
383
  end process;
384
 
385
--
386
-- Video memory access
387
--
388
  vga_addr_proc : process(vga_clk, vdu_rst)
389
  begin
390
 
391
    if vdu_rst = '1' then
392
      vga0_cs   <= '0';
393
      vga0_rw   <= '1';
394
      row_addr  <= "000000";
395
      col_addr  <= "0000000";
396
      --
397
      vga1_cs   <= '0';
398
      vga1_rw   <= '1';
399
      row1_addr <= "000000";
400
      col1_addr <= "0000000";
401
      --
402
      vga2_cs   <= '0';
403
      vga2_rw   <= '1';
404
      ver_addr  <= "0000000";
405
      hor_addr  <= "0000000";
406
      --
407
      vga_cs    <= '0';
408
      vga_rw    <= '1';
409
      vga_addr  <= "00000000000";
410
 
411
    elsif vga_clk'event and vga_clk = '0' then
412
      --
413
      -- on h_count = 0 initiate character write.
414
      -- all other cycles are reads.
415
      --
416
      case h_count(2 downto 0) is
417
        when "000" =>                   -- pipeline character write
418
          vga0_cs  <= req_write;
419
          vga0_rw  <= '0';
420
          col_addr <= reg_hcursor(6 downto 0);
421
          row_addr <= unsigned("0" & reg_vcursor(4 downto 0)) + unsigned("0" & reg_voffset(4 downto 0));
422
        when others =>                  -- other 6 cycles free
423
          vga0_cs  <= '1';
424
          vga0_rw  <= '1';
425
          col_addr <= h_count(9 downto 3);
426
          row_addr <= unsigned("0" & v_count(8 downto 4)) + unsigned("0" & reg_voffset(4 downto 0));
427
      end case;
428
      --
429
      -- on vga_clk + 1 round off row address
430
      --
431
      vga1_cs <= vga0_cs;
432
      vga1_rw <= vga0_rw;
433
      if row_addr < VER_DISP_CHR then
434
        row1_addr <= row_addr;
435
      else
436
        row1_addr <= row_addr - VER_DISP_CHR;
437
      end if;
438
      col1_addr <= col_addr;
439
      --
440
      -- on vga_clk + 2 calculate vertical address
441
      --
442
      vga2_cs   <= vga1_cs;
443
      vga2_rw   <= vga1_rw;
444
      ver_addr  <= std_logic_vector(unsigned("00" & row1_addr(4 downto 0)) + unsigned(row1_addr(4 downto 0) & "00"));
445
      hor_addr  <= col1_addr;
446
      --
447
      -- on vga_clk + 3 calculate memory address
448
      --
449
      vga_cs    <= vga2_cs;
450
      vga_rw    <= vga2_rw;
451
      vga_addr  <= std_logic_vector(unsigned("0000" & hor_addr) + unsigned(ver_addr & "0000"));
452
    end if;
453
  end process;
454
--
455
-- Video shift register
456
--
457
  vga_shift_proc : process( vga_clk, vdu_rst)
458
  begin
459
    if vdu_rst = '1' then
460
      ack_write     <= '0';
461
      video_on2     <= '0';
462
      video_on      <= '0';
463
      cursor_on     <= '0';
464
      vga_bg_colour <= "000";
465
      vga_fg_colour <= "111";
466
      vga_shift     <= "00000000";
467
      vga_red_o     <= '0';
468
      vga_green_o   <= '0';
469
      vga_blue_o    <= '0';
470
      -- Put all video signals through DFFs to elminate any delays that cause a blurry image
471
 
472
    elsif vga_clk'event and vga_clk = '0' then
473
      -- Character Data valid on 1 count
474
      if h_count(2 downto 0) = "000" then
475
        if (req_write = '1') and (ack_write = '0') then
476
          ack_write <= '1';
477
        elsif (req_write = '0') and (ack_write = '1') then
478
          ack_write <= '0';
479
        else
480
          ack_write <= ack_write;
481
        end if;
482
        video_on2     <= video_on1;
483
        video_on      <= video_on2;
484
        cursor_on     <= (cursor_on1 or attr_data_out(3)) and blink_count(22);
485
        vga_fg_colour <= attr_data_out(2 downto 0);
486
        vga_bg_colour <= attr_data_out(6 downto 4);
487
        if attr_data_out(7) = '0' then
488
          vga_shift <= char_data_out;
489
        else
490
          case v_count(3 downto 2) is
491
            when "00" =>
492
              vga_shift(7 downto 4) <= vga_data_out(0) & vga_data_out(0) & vga_data_out(0) & vga_data_out(0);
493
              vga_shift(3 downto 0) <= vga_data_out(1) & vga_data_out(1) & vga_data_out(1) & vga_data_out(1);
494
            when "01" =>
495
              vga_shift(7 downto 4) <= vga_data_out(2) & vga_data_out(2) & vga_data_out(2) & vga_data_out(2);
496
              vga_shift(3 downto 0) <= vga_data_out(3) & vga_data_out(3) & vga_data_out(3) & vga_data_out(3);
497
            when "10" =>
498
              vga_shift(7 downto 4) <= vga_data_out(4) & vga_data_out(4) & vga_data_out(4) & vga_data_out(4);
499
              vga_shift(3 downto 0) <= vga_data_out(5) & vga_data_out(5) & vga_data_out(5) & vga_data_out(5);
500
            when others =>
501
              vga_shift(7 downto 4) <= vga_data_out(6) & vga_data_out(6) & vga_data_out(6) & vga_data_out(6);
502
              vga_shift(3 downto 0) <= vga_data_out(7) & vga_data_out(7) & vga_data_out(7) & vga_data_out(7);
503
          end case;
504
        end if;
505
      else
506
        vga_shift <= vga_shift(6 downto 0) & '0';
507
      end if;
508
 
509
      --
510
      -- Colour mask is
511
      --  7  6  5  4  3  2  1  0
512
      --  X BG BB BR  X FG FB FR
513
      --
514
      if vga_shift(7) = (not cursor_on) then
515
        vga_red_o   <= video_on and vga_fg_colour(0);
516
        vga_green_o <= video_on and vga_fg_colour(1);
517
        vga_blue_o  <= video_on and vga_fg_colour(2);
518
      else
519
        vga_red_o   <= video_on and vga_bg_colour(0);
520
        vga_green_o <= video_on and vga_bg_colour(1);
521
        vga_blue_o  <= video_on and vga_bg_colour(2);
522
      end if;
523
    end if;
524
  end process;
525
 
526
 
527
--
528
-- Sync generator & timing process
529
-- Generate Horizontal and Vertical Timing Signals for Video Signal
530
--
531
  vga_sync : process(vga_clk)
532
  begin
533
    if vga_clk'event and vga_clk = '0' then
534
      --
535
      -- H_count counts pixels (640 + extra time for sync signals)
536
      --
537
      --  Horiz_sync  -----------------------------__________--------
538
      --  H_count       0                640      659       755    799
539
      --
540
      if unsigned(h_count) = HOR_SCAN_END then
541
        h_count <= (others=>'0');
542
      else
543
        h_count <= std_logic_vector(unsigned(h_count) + 1);
544
      end if;
545
--
546
-- Generate Horizontal Sync Signal using H_count
547
--
548
      if unsigned(h_count) = HOR_SYNC_BEG then
549
        horiz_sync <= '0';
550
      elsif unsigned(h_count) = HOR_SYNC_END then
551
        horiz_sync <= '1';
552
      else
553
        horiz_sync <= horiz_sync;
554
      end if;
555
--
556
-- V_count counts rows of pixels
557
-- 400 lines + extra time for sync signals
558
-- 25 rows * 16 scan lines
559
--
560
--  Vert_sync      ---------------------------------_______------------
561
--  V_count         0                       400    413     414        444
562
--
563
      if (unsigned(v_count) = VER_SCAN_END) and (unsigned(h_count) = HOR_SCAN_END) then
564
        v_count <= "000000000";
565
      elsif unsigned(h_count) = HOR_SYNC_END then
566
        v_count <= std_logic_vector(unsigned(v_count) + 1);
567
      end if;
568
--
569
-- Generate Vertical Sync Signal using V_count
570
--
571
      if unsigned(v_count) = VER_SYNC_BEG then
572
        vert_sync <= '0';
573
      elsif unsigned(v_count) = VER_SYNC_END then
574
        vert_sync <= '1';
575
      else
576
        vert_sync <= vert_sync;
577
      end if;
578
 
579
-- Generate Video on Screen Signals for Pixel Data
580
      if unsigned(h_count) = HOR_SCAN_END then
581
        video_on_h <= '1';
582
      elsif unsigned(h_count) = HOR_DISP_END then
583
        video_on_h <= '0';
584
      else
585
        video_on_h <= video_on_h;
586
      end if;
587
 
588
      if unsigned(v_count) = VER_SCAN_END then
589
        video_on_v <= '1';
590
      elsif unsigned(v_count) = VER_DISP_END then
591
        video_on_v <= '0';
592
      else
593
        video_on_v <= video_on_v;
594
      end if;
595
 
596
 
597
      if h_count(9 downto 3) = reg_hcursor(6 downto 0) then
598
        cursor_on_h <= '1';
599
      else
600
        cursor_on_h <= '0';
601
      end if;
602
 
603
      if (v_count(8 downto 4) = reg_vcursor(4 downto 0)) then
604
        cursor_on_v <= '1';
605
      else
606
        cursor_on_v <= '0';
607
      end if;
608
 
609
      -- cursor_on is only active when on selected character
610
      blink_count <= std_logic_vector(unsigned(blink_count) + 1);
611
    end if;
612
 
613
  end process;
614
 
615
  -- video_on is high only when RGB data is displayed
616
  vga_hsync_o <= horiz_sync;
617
  vga_vsync_o <= vert_sync;
618
  video_on1   <= video_on_H and video_on_V;
619
  cursor_on1  <= cursor_on_h and cursor_on_v;
620
 
621
--
622
-- Here to look up character ROM
623
-- This will take one clock cycle
624
-- and should be performed on h_count = "111"
625
--
626
  char_addr(10 downto 4) <= vga_data_out(6 downto 0);
627
  char_addr(3 downto 0)  <= v_count(3 downto 0);
628
 
629
end RTL;

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.