OpenCores
URL https://opencores.org/ocsvn/a-z80/a-z80/trunk

Subversion Repositories a-z80

[/] [a-z80/] [trunk/] [cpu/] [control/] [ir.v] - Blame information for rev 8

Go to most recent revision | Details | Compare with Previous | View Log

Line No. Rev Author Line
1 3 gdevic
// Copyright (C) 1991-2013 Altera Corporation
2
// Your use of Altera Corporation's design tools, logic functions 
3
// and other software and tools, and its AMPP partner logic 
4
// functions, and any output files from any of the foregoing 
5
// (including device programming or simulation files), and any 
6
// associated documentation or information are expressly subject 
7
// to the terms and conditions of the Altera Program License 
8
// Subscription Agreement, Altera MegaCore Function License 
9
// Agreement, or other applicable license agreement, including, 
10
// without limitation, that your use is for the sole purpose of 
11
// programming logic devices manufactured by Altera and sold by 
12
// Altera or its authorized distributors.  Please refer to the 
13
// applicable agreement for further details.
14
 
15
// PROGRAM              "Quartus II 64-Bit"
16
// VERSION              "Version 13.0.1 Build 232 06/12/2013 Service Pack 1 SJ Web Edition"
17 8 gdevic
// CREATED              "Fri Feb 26 22:23:08 2016"
18 3 gdevic
 
19
module ir(
20
        ctl_ir_we,
21
        clk,
22 8 gdevic
        nreset,
23 3 gdevic
        db,
24
        opcode
25
);
26
 
27
 
28
input wire      ctl_ir_we;
29
input wire      clk;
30 8 gdevic
input wire      nreset;
31 3 gdevic
input wire      [7:0] db;
32
output reg      [7:0] opcode;
33
 
34
 
35
 
36
 
37
 
38
 
39 8 gdevic
always@(posedge clk or negedge nreset)
40 3 gdevic
begin
41 8 gdevic
if (!nreset)
42
        begin
43
        opcode[7:0] <= 8'b00000000;
44
        end
45
else
46 3 gdevic
if (ctl_ir_we)
47
        begin
48
        opcode[7:0] <= db[7:0];
49
        end
50
end
51
 
52
 
53
endmodule

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.