OpenCores
URL https://opencores.org/ocsvn/a-z80/a-z80/trunk

Subversion Repositories a-z80

[/] [a-z80/] [trunk/] [cpu/] [registers/] [reg_file.v] - Blame information for rev 3

Go to most recent revision | Details | Compare with Previous | View Log

Line No. Rev Author Line
1 3 gdevic
// Copyright (C) 1991-2013 Altera Corporation
2
// Your use of Altera Corporation's design tools, logic functions 
3
// and other software and tools, and its AMPP partner logic 
4
// functions, and any output files from any of the foregoing 
5
// (including device programming or simulation files), and any 
6
// associated documentation or information are expressly subject 
7
// to the terms and conditions of the Altera Program License 
8
// Subscription Agreement, Altera MegaCore Function License 
9
// Agreement, or other applicable license agreement, including, 
10
// without limitation, that your use is for the sole purpose of 
11
// programming logic devices manufactured by Altera and sold by 
12
// Altera or its authorized distributors.  Please refer to the 
13
// applicable agreement for further details.
14
 
15
// PROGRAM              "Quartus II 64-Bit"
16
// VERSION              "Version 13.0.1 Build 232 06/12/2013 Service Pack 1 SJ Web Edition"
17
// CREATED              "Fri Nov 07 10:28:48 2014"
18
 
19
module reg_file(
20
        reg_sel_sys_lo,
21
        reg_sel_gp_lo,
22
        reg_sel_sys_hi,
23
        reg_sel_gp_hi,
24
        reg_sel_ir,
25
        reg_sel_pc,
26
        ctl_sw_4d,
27
        ctl_sw_4u,
28
        reg_sel_wz,
29
        reg_sel_sp,
30
        reg_sel_iy,
31
        reg_sel_ix,
32
        reg_sel_hl2,
33
        reg_sel_hl,
34
        reg_sel_de2,
35
        reg_sel_de,
36
        reg_sel_bc2,
37
        reg_sel_bc,
38
        reg_sel_af2,
39
        reg_sel_af,
40
        reg_gp_we,
41
        reg_sys_we_lo,
42
        reg_sys_we_hi,
43
        ctl_reg_in_hi,
44
        ctl_reg_in_lo,
45
        ctl_reg_out_lo,
46
        ctl_reg_out_hi,
47
        clk,
48
        db_hi_as,
49
        db_hi_ds,
50
        db_lo_as,
51
        db_lo_ds
52
);
53
 
54
 
55
input wire      reg_sel_sys_lo;
56
input wire      reg_sel_gp_lo;
57
input wire      reg_sel_sys_hi;
58
input wire      reg_sel_gp_hi;
59
input wire      reg_sel_ir;
60
input wire      reg_sel_pc;
61
input wire      ctl_sw_4d;
62
input wire      ctl_sw_4u;
63
input wire      reg_sel_wz;
64
input wire      reg_sel_sp;
65
input wire      reg_sel_iy;
66
input wire      reg_sel_ix;
67
input wire      reg_sel_hl2;
68
input wire      reg_sel_hl;
69
input wire      reg_sel_de2;
70
input wire      reg_sel_de;
71
input wire      reg_sel_bc2;
72
input wire      reg_sel_bc;
73
input wire      reg_sel_af2;
74
input wire      reg_sel_af;
75
input wire      reg_gp_we;
76
input wire      reg_sys_we_lo;
77
input wire      reg_sys_we_hi;
78
input wire      ctl_reg_in_hi;
79
input wire      ctl_reg_in_lo;
80
input wire      ctl_reg_out_lo;
81
input wire      ctl_reg_out_hi;
82
input wire      clk;
83
inout wire      [7:0] db_hi_as;
84
inout wire      [7:0] db_hi_ds;
85
inout wire      [7:0] db_lo_as;
86
inout wire      [7:0] db_lo_ds;
87
 
88
wire    [7:0] gdfx_temp0;
89
wire    [7:0] gdfx_temp1;
90
wire    SYNTHESIZED_WIRE_84;
91
wire    SYNTHESIZED_WIRE_85;
92
wire    SYNTHESIZED_WIRE_86;
93
wire    SYNTHESIZED_WIRE_28;
94
wire    SYNTHESIZED_WIRE_29;
95
wire    SYNTHESIZED_WIRE_30;
96
wire    SYNTHESIZED_WIRE_31;
97
wire    SYNTHESIZED_WIRE_32;
98
wire    SYNTHESIZED_WIRE_33;
99
wire    SYNTHESIZED_WIRE_34;
100
wire    SYNTHESIZED_WIRE_35;
101
wire    SYNTHESIZED_WIRE_36;
102
wire    SYNTHESIZED_WIRE_37;
103
wire    SYNTHESIZED_WIRE_38;
104
wire    SYNTHESIZED_WIRE_39;
105
wire    SYNTHESIZED_WIRE_40;
106
wire    SYNTHESIZED_WIRE_41;
107
wire    SYNTHESIZED_WIRE_42;
108
wire    SYNTHESIZED_WIRE_43;
109
wire    SYNTHESIZED_WIRE_44;
110
wire    SYNTHESIZED_WIRE_45;
111
wire    SYNTHESIZED_WIRE_46;
112
wire    SYNTHESIZED_WIRE_47;
113
wire    SYNTHESIZED_WIRE_48;
114
wire    SYNTHESIZED_WIRE_49;
115
wire    SYNTHESIZED_WIRE_50;
116
wire    SYNTHESIZED_WIRE_51;
117
wire    SYNTHESIZED_WIRE_52;
118
wire    SYNTHESIZED_WIRE_53;
119
wire    SYNTHESIZED_WIRE_54;
120
wire    SYNTHESIZED_WIRE_55;
121
wire    SYNTHESIZED_WIRE_56;
122
wire    SYNTHESIZED_WIRE_57;
123
wire    SYNTHESIZED_WIRE_58;
124
wire    SYNTHESIZED_WIRE_59;
125
wire    SYNTHESIZED_WIRE_60;
126
wire    SYNTHESIZED_WIRE_61;
127
wire    SYNTHESIZED_WIRE_62;
128
wire    SYNTHESIZED_WIRE_63;
129
wire    SYNTHESIZED_WIRE_64;
130
wire    SYNTHESIZED_WIRE_65;
131
wire    SYNTHESIZED_WIRE_66;
132
wire    SYNTHESIZED_WIRE_67;
133
wire    SYNTHESIZED_WIRE_68;
134
wire    SYNTHESIZED_WIRE_69;
135
wire    SYNTHESIZED_WIRE_70;
136
wire    SYNTHESIZED_WIRE_71;
137
wire    SYNTHESIZED_WIRE_72;
138
wire    SYNTHESIZED_WIRE_73;
139
wire    SYNTHESIZED_WIRE_74;
140
wire    SYNTHESIZED_WIRE_75;
141
wire    SYNTHESIZED_WIRE_76;
142
wire    SYNTHESIZED_WIRE_77;
143
wire    SYNTHESIZED_WIRE_78;
144
wire    SYNTHESIZED_WIRE_79;
145
wire    SYNTHESIZED_WIRE_80;
146
wire    SYNTHESIZED_WIRE_81;
147
wire    SYNTHESIZED_WIRE_82;
148
wire    SYNTHESIZED_WIRE_83;
149
 
150
 
151
 
152
 
153
assign  SYNTHESIZED_WIRE_82 = SYNTHESIZED_WIRE_84 & reg_sel_sys_lo & reg_sel_wz;
154
 
155
assign  SYNTHESIZED_WIRE_80 = reg_sel_wz & reg_sel_sys_hi & SYNTHESIZED_WIRE_85;
156
 
157
assign  SYNTHESIZED_WIRE_78 = SYNTHESIZED_WIRE_86 & reg_sel_gp_lo & reg_sel_sp;
158
 
159
assign  SYNTHESIZED_WIRE_76 = reg_sel_sp & reg_sel_gp_hi & SYNTHESIZED_WIRE_86;
160
 
161
assign  SYNTHESIZED_WIRE_84 =  ~reg_sys_we_lo;
162
 
163
assign  SYNTHESIZED_WIRE_71 = reg_sel_gp_lo & reg_gp_we & reg_sel_iy;
164
 
165
assign  SYNTHESIZED_WIRE_85 =  ~reg_sys_we_hi;
166
 
167
assign  SYNTHESIZED_WIRE_74 = SYNTHESIZED_WIRE_84 & reg_sel_sys_lo & reg_sel_pc;
168
 
169
assign  SYNTHESIZED_WIRE_67 = reg_sel_gp_lo & reg_gp_we & reg_sel_ix;
170
 
171
assign  SYNTHESIZED_WIRE_55 = reg_sel_gp_lo & reg_gp_we & reg_sel_hl2;
172
 
173
assign  SYNTHESIZED_WIRE_72 = reg_sel_pc & reg_sel_sys_hi & SYNTHESIZED_WIRE_85;
174
 
175
assign  SYNTHESIZED_WIRE_59 = reg_sel_gp_lo & reg_gp_we & reg_sel_hl;
176
 
177
assign  SYNTHESIZED_WIRE_47 = reg_sel_gp_lo & reg_gp_we & reg_sel_de2;
178
 
179
assign  SYNTHESIZED_WIRE_51 = reg_sel_gp_lo & reg_gp_we & reg_sel_de;
180
 
181
assign  SYNTHESIZED_WIRE_81 = reg_sel_wz & reg_sys_we_hi & reg_sel_sys_hi;
182
 
183
assign  SYNTHESIZED_WIRE_86 =  ~reg_gp_we;
184
 
185
assign  SYNTHESIZED_WIRE_70 = SYNTHESIZED_WIRE_86 & reg_sel_gp_lo & reg_sel_iy;
186
 
187
assign  SYNTHESIZED_WIRE_68 = reg_sel_iy & reg_sel_gp_hi & SYNTHESIZED_WIRE_86;
188
 
189
assign  SYNTHESIZED_WIRE_39 = reg_sel_gp_lo & reg_gp_we & reg_sel_bc2;
190
 
191
assign  SYNTHESIZED_WIRE_43 = reg_sel_gp_lo & reg_gp_we & reg_sel_bc;
192
 
193
assign  SYNTHESIZED_WIRE_31 = reg_sel_gp_lo & reg_gp_we & reg_sel_af2;
194
 
195
assign  SYNTHESIZED_WIRE_77 = reg_sel_sp & reg_gp_we & reg_sel_gp_hi;
196
 
197
assign  SYNTHESIZED_WIRE_66 = SYNTHESIZED_WIRE_86 & reg_sel_gp_lo & reg_sel_ix;
198
 
199
assign  SYNTHESIZED_WIRE_64 = reg_sel_ix & reg_sel_gp_hi & SYNTHESIZED_WIRE_86;
200
 
201
assign  SYNTHESIZED_WIRE_35 = reg_sel_gp_lo & reg_gp_we & reg_sel_af;
202
 
203
assign  SYNTHESIZED_WIRE_69 = reg_sel_iy & reg_gp_we & reg_sel_gp_hi;
204
 
205
assign  SYNTHESIZED_WIRE_63 = reg_sel_sys_lo & reg_sys_we_lo & reg_sel_ir;
206
 
207
assign  SYNTHESIZED_WIRE_65 = reg_sel_ix & reg_gp_we & reg_sel_gp_hi;
208
 
209
assign  SYNTHESIZED_WIRE_53 = reg_sel_hl2 & reg_gp_we & reg_sel_gp_hi;
210
 
211
assign  SYNTHESIZED_WIRE_54 = SYNTHESIZED_WIRE_86 & reg_sel_gp_lo & reg_sel_hl2;
212
 
213
assign  SYNTHESIZED_WIRE_52 = reg_sel_hl2 & reg_sel_gp_hi & SYNTHESIZED_WIRE_86;
214
 
215
assign  SYNTHESIZED_WIRE_57 = reg_sel_hl & reg_gp_we & reg_sel_gp_hi;
216
 
217
assign  SYNTHESIZED_WIRE_45 = reg_sel_de2 & reg_gp_we & reg_sel_gp_hi;
218
 
219
assign  SYNTHESIZED_WIRE_49 = reg_sel_de & reg_gp_we & reg_sel_gp_hi;
220
 
221
assign  SYNTHESIZED_WIRE_37 = reg_sel_bc2 & reg_gp_we & reg_sel_gp_hi;
222
 
223
assign  SYNTHESIZED_WIRE_58 = SYNTHESIZED_WIRE_86 & reg_sel_gp_lo & reg_sel_hl;
224
 
225
assign  SYNTHESIZED_WIRE_56 = reg_sel_hl & reg_sel_gp_hi & SYNTHESIZED_WIRE_86;
226
 
227
assign  SYNTHESIZED_WIRE_75 = reg_sel_sys_lo & reg_sys_we_lo & reg_sel_pc;
228
 
229
assign  SYNTHESIZED_WIRE_41 = reg_sel_bc & reg_gp_we & reg_sel_gp_hi;
230
 
231
assign  SYNTHESIZED_WIRE_29 = reg_sel_af2 & reg_gp_we & reg_sel_gp_hi;
232
 
233
assign  SYNTHESIZED_WIRE_33 = reg_sel_af & reg_gp_we & reg_sel_gp_hi;
234
 
235
assign  SYNTHESIZED_WIRE_61 = reg_sel_ir & reg_sys_we_hi & reg_sel_sys_hi;
236
 
237
assign  SYNTHESIZED_WIRE_46 = SYNTHESIZED_WIRE_86 & reg_sel_gp_lo & reg_sel_de2;
238
 
239
assign  SYNTHESIZED_WIRE_44 = reg_sel_de2 & reg_sel_gp_hi & SYNTHESIZED_WIRE_86;
240
 
241
assign  SYNTHESIZED_WIRE_73 = reg_sel_pc & reg_sys_we_hi & reg_sel_sys_hi;
242
 
243
assign  SYNTHESIZED_WIRE_83 = reg_sel_sys_lo & reg_sys_we_lo & reg_sel_wz;
244
 
245
assign  SYNTHESIZED_WIRE_50 = SYNTHESIZED_WIRE_86 & reg_sel_gp_lo & reg_sel_de;
246
 
247
assign  SYNTHESIZED_WIRE_48 = reg_sel_de & reg_sel_gp_hi & SYNTHESIZED_WIRE_86;
248
 
249
assign  SYNTHESIZED_WIRE_38 = SYNTHESIZED_WIRE_86 & reg_sel_gp_lo & reg_sel_bc2;
250
 
251
assign  SYNTHESIZED_WIRE_36 = reg_sel_bc2 & reg_sel_gp_hi & SYNTHESIZED_WIRE_86;
252
 
253
assign  SYNTHESIZED_WIRE_42 = SYNTHESIZED_WIRE_86 & reg_sel_gp_lo & reg_sel_bc;
254
 
255
assign  SYNTHESIZED_WIRE_40 = reg_sel_bc & reg_sel_gp_hi & SYNTHESIZED_WIRE_86;
256
 
257
assign  SYNTHESIZED_WIRE_30 = SYNTHESIZED_WIRE_86 & reg_sel_gp_lo & reg_sel_af2;
258
 
259
assign  SYNTHESIZED_WIRE_28 = reg_sel_af2 & reg_sel_gp_hi & SYNTHESIZED_WIRE_86;
260
 
261
assign  SYNTHESIZED_WIRE_62 = SYNTHESIZED_WIRE_84 & reg_sel_sys_lo & reg_sel_ir;
262
 
263
assign  SYNTHESIZED_WIRE_34 = SYNTHESIZED_WIRE_86 & reg_sel_gp_lo & reg_sel_af;
264
 
265
assign  SYNTHESIZED_WIRE_32 = reg_sel_af & reg_sel_gp_hi & SYNTHESIZED_WIRE_86;
266
 
267
assign  SYNTHESIZED_WIRE_60 = reg_sel_ir & reg_sel_sys_hi & SYNTHESIZED_WIRE_85;
268
 
269
assign  SYNTHESIZED_WIRE_79 = reg_sel_gp_lo & reg_gp_we & reg_sel_sp;
270
 
271
 
272
reg_latch       b2v_latch_af2_hi(
273
        .oe(SYNTHESIZED_WIRE_28),
274
        .we(SYNTHESIZED_WIRE_29),
275
        .clk(clk),
276
        .db(gdfx_temp1)
277
        );
278
 
279
 
280
reg_latch       b2v_latch_af2_lo(
281
        .oe(SYNTHESIZED_WIRE_30),
282
        .we(SYNTHESIZED_WIRE_31),
283
        .clk(clk),
284
        .db(gdfx_temp0)
285
        );
286
 
287
 
288
reg_latch       b2v_latch_af_hi(
289
        .oe(SYNTHESIZED_WIRE_32),
290
        .we(SYNTHESIZED_WIRE_33),
291
        .clk(clk),
292
        .db(gdfx_temp1)
293
        );
294
 
295
 
296
reg_latch       b2v_latch_af_lo(
297
        .oe(SYNTHESIZED_WIRE_34),
298
        .we(SYNTHESIZED_WIRE_35),
299
        .clk(clk),
300
        .db(gdfx_temp0)
301
        );
302
 
303
 
304
reg_latch       b2v_latch_bc2_hi(
305
        .oe(SYNTHESIZED_WIRE_36),
306
        .we(SYNTHESIZED_WIRE_37),
307
        .clk(clk),
308
        .db(gdfx_temp1)
309
        );
310
 
311
 
312
reg_latch       b2v_latch_bc2_lo(
313
        .oe(SYNTHESIZED_WIRE_38),
314
        .we(SYNTHESIZED_WIRE_39),
315
        .clk(clk),
316
        .db(gdfx_temp0)
317
        );
318
 
319
 
320
reg_latch       b2v_latch_bc_hi(
321
        .oe(SYNTHESIZED_WIRE_40),
322
        .we(SYNTHESIZED_WIRE_41),
323
        .clk(clk),
324
        .db(gdfx_temp1)
325
        );
326
 
327
 
328
reg_latch       b2v_latch_bc_lo(
329
        .oe(SYNTHESIZED_WIRE_42),
330
        .we(SYNTHESIZED_WIRE_43),
331
        .clk(clk),
332
        .db(gdfx_temp0)
333
        );
334
 
335
 
336
reg_latch       b2v_latch_de2_hi(
337
        .oe(SYNTHESIZED_WIRE_44),
338
        .we(SYNTHESIZED_WIRE_45),
339
        .clk(clk),
340
        .db(gdfx_temp1)
341
        );
342
 
343
 
344
reg_latch       b2v_latch_de2_lo(
345
        .oe(SYNTHESIZED_WIRE_46),
346
        .we(SYNTHESIZED_WIRE_47),
347
        .clk(clk),
348
        .db(gdfx_temp0)
349
        );
350
 
351
 
352
reg_latch       b2v_latch_de_hi(
353
        .oe(SYNTHESIZED_WIRE_48),
354
        .we(SYNTHESIZED_WIRE_49),
355
        .clk(clk),
356
        .db(gdfx_temp1)
357
        );
358
 
359
 
360
reg_latch       b2v_latch_de_lo(
361
        .oe(SYNTHESIZED_WIRE_50),
362
        .we(SYNTHESIZED_WIRE_51),
363
        .clk(clk),
364
        .db(gdfx_temp0)
365
        );
366
 
367
 
368
reg_latch       b2v_latch_hl2_hi(
369
        .oe(SYNTHESIZED_WIRE_52),
370
        .we(SYNTHESIZED_WIRE_53),
371
        .clk(clk),
372
        .db(gdfx_temp1)
373
        );
374
 
375
 
376
reg_latch       b2v_latch_hl2_lo(
377
        .oe(SYNTHESIZED_WIRE_54),
378
        .we(SYNTHESIZED_WIRE_55),
379
        .clk(clk),
380
        .db(gdfx_temp0)
381
        );
382
 
383
 
384
reg_latch       b2v_latch_hl_hi(
385
        .oe(SYNTHESIZED_WIRE_56),
386
        .we(SYNTHESIZED_WIRE_57),
387
        .clk(clk),
388
        .db(gdfx_temp1)
389
        );
390
 
391
 
392
reg_latch       b2v_latch_hl_lo(
393
        .oe(SYNTHESIZED_WIRE_58),
394
        .we(SYNTHESIZED_WIRE_59),
395
        .clk(clk),
396
        .db(gdfx_temp0)
397
        );
398
 
399
 
400
reg_latch       b2v_latch_ir_hi(
401
        .oe(SYNTHESIZED_WIRE_60),
402
        .we(SYNTHESIZED_WIRE_61),
403
        .clk(clk),
404
        .db(db_hi_as)
405
        );
406
 
407
 
408
reg_latch       b2v_latch_ir_lo(
409
        .oe(SYNTHESIZED_WIRE_62),
410
        .we(SYNTHESIZED_WIRE_63),
411
        .clk(clk),
412
        .db(db_lo_as)
413
        );
414
 
415
 
416
reg_latch       b2v_latch_ix_hi(
417
        .oe(SYNTHESIZED_WIRE_64),
418
        .we(SYNTHESIZED_WIRE_65),
419
        .clk(clk),
420
        .db(gdfx_temp1)
421
        );
422
 
423
 
424
reg_latch       b2v_latch_ix_lo(
425
        .oe(SYNTHESIZED_WIRE_66),
426
        .we(SYNTHESIZED_WIRE_67),
427
        .clk(clk),
428
        .db(gdfx_temp0)
429
        );
430
 
431
 
432
reg_latch       b2v_latch_iy_hi(
433
        .oe(SYNTHESIZED_WIRE_68),
434
        .we(SYNTHESIZED_WIRE_69),
435
        .clk(clk),
436
        .db(gdfx_temp1)
437
        );
438
 
439
 
440
reg_latch       b2v_latch_iy_lo(
441
        .oe(SYNTHESIZED_WIRE_70),
442
        .we(SYNTHESIZED_WIRE_71),
443
        .clk(clk),
444
        .db(gdfx_temp0)
445
        );
446
 
447
 
448
reg_latch       b2v_latch_pc_hi(
449
        .oe(SYNTHESIZED_WIRE_72),
450
        .we(SYNTHESIZED_WIRE_73),
451
        .clk(clk),
452
        .db(db_hi_as)
453
        );
454
 
455
 
456
reg_latch       b2v_latch_pc_lo(
457
        .oe(SYNTHESIZED_WIRE_74),
458
        .we(SYNTHESIZED_WIRE_75),
459
        .clk(clk),
460
        .db(db_lo_as)
461
        );
462
 
463
 
464
reg_latch       b2v_latch_sp_hi(
465
        .oe(SYNTHESIZED_WIRE_76),
466
        .we(SYNTHESIZED_WIRE_77),
467
        .clk(clk),
468
        .db(gdfx_temp1)
469
        );
470
 
471
 
472
reg_latch       b2v_latch_sp_lo(
473
        .oe(SYNTHESIZED_WIRE_78),
474
        .we(SYNTHESIZED_WIRE_79),
475
        .clk(clk),
476
        .db(gdfx_temp0)
477
        );
478
 
479
 
480
reg_latch       b2v_latch_wz_hi(
481
        .oe(SYNTHESIZED_WIRE_80),
482
        .we(SYNTHESIZED_WIRE_81),
483
        .clk(clk),
484
        .db(gdfx_temp1)
485
        );
486
 
487
 
488
reg_latch       b2v_latch_wz_lo(
489
        .oe(SYNTHESIZED_WIRE_82),
490
        .we(SYNTHESIZED_WIRE_83),
491
        .clk(clk),
492
        .db(gdfx_temp0)
493
        );
494
 
495
assign  gdfx_temp0[7] = ctl_sw_4u ? db_lo_as[7] : 1'bz;
496
assign  gdfx_temp0[6] = ctl_sw_4u ? db_lo_as[6] : 1'bz;
497
assign  gdfx_temp0[5] = ctl_sw_4u ? db_lo_as[5] : 1'bz;
498
assign  gdfx_temp0[4] = ctl_sw_4u ? db_lo_as[4] : 1'bz;
499
assign  gdfx_temp0[3] = ctl_sw_4u ? db_lo_as[3] : 1'bz;
500
assign  gdfx_temp0[2] = ctl_sw_4u ? db_lo_as[2] : 1'bz;
501
assign  gdfx_temp0[1] = ctl_sw_4u ? db_lo_as[1] : 1'bz;
502
assign  gdfx_temp0[0] = ctl_sw_4u ? db_lo_as[0] : 1'bz;
503
 
504
assign  db_lo_as[7] = ctl_sw_4d ? gdfx_temp0[7] : 1'bz;
505
assign  db_lo_as[6] = ctl_sw_4d ? gdfx_temp0[6] : 1'bz;
506
assign  db_lo_as[5] = ctl_sw_4d ? gdfx_temp0[5] : 1'bz;
507
assign  db_lo_as[4] = ctl_sw_4d ? gdfx_temp0[4] : 1'bz;
508
assign  db_lo_as[3] = ctl_sw_4d ? gdfx_temp0[3] : 1'bz;
509
assign  db_lo_as[2] = ctl_sw_4d ? gdfx_temp0[2] : 1'bz;
510
assign  db_lo_as[1] = ctl_sw_4d ? gdfx_temp0[1] : 1'bz;
511
assign  db_lo_as[0] = ctl_sw_4d ? gdfx_temp0[0] : 1'bz;
512
 
513
assign  gdfx_temp1[7] = ctl_sw_4u ? db_hi_as[7] : 1'bz;
514
assign  gdfx_temp1[6] = ctl_sw_4u ? db_hi_as[6] : 1'bz;
515
assign  gdfx_temp1[5] = ctl_sw_4u ? db_hi_as[5] : 1'bz;
516
assign  gdfx_temp1[4] = ctl_sw_4u ? db_hi_as[4] : 1'bz;
517
assign  gdfx_temp1[3] = ctl_sw_4u ? db_hi_as[3] : 1'bz;
518
assign  gdfx_temp1[2] = ctl_sw_4u ? db_hi_as[2] : 1'bz;
519
assign  gdfx_temp1[1] = ctl_sw_4u ? db_hi_as[1] : 1'bz;
520
assign  gdfx_temp1[0] = ctl_sw_4u ? db_hi_as[0] : 1'bz;
521
 
522
assign  db_hi_as[7] = ctl_sw_4d ? gdfx_temp1[7] : 1'bz;
523
assign  db_hi_as[6] = ctl_sw_4d ? gdfx_temp1[6] : 1'bz;
524
assign  db_hi_as[5] = ctl_sw_4d ? gdfx_temp1[5] : 1'bz;
525
assign  db_hi_as[4] = ctl_sw_4d ? gdfx_temp1[4] : 1'bz;
526
assign  db_hi_as[3] = ctl_sw_4d ? gdfx_temp1[3] : 1'bz;
527
assign  db_hi_as[2] = ctl_sw_4d ? gdfx_temp1[2] : 1'bz;
528
assign  db_hi_as[1] = ctl_sw_4d ? gdfx_temp1[1] : 1'bz;
529
assign  db_hi_as[0] = ctl_sw_4d ? gdfx_temp1[0] : 1'bz;
530
 
531
assign  db_lo_ds[7] = ctl_reg_out_lo ? gdfx_temp0[7] : 1'bz;
532
assign  db_lo_ds[6] = ctl_reg_out_lo ? gdfx_temp0[6] : 1'bz;
533
assign  db_lo_ds[5] = ctl_reg_out_lo ? gdfx_temp0[5] : 1'bz;
534
assign  db_lo_ds[4] = ctl_reg_out_lo ? gdfx_temp0[4] : 1'bz;
535
assign  db_lo_ds[3] = ctl_reg_out_lo ? gdfx_temp0[3] : 1'bz;
536
assign  db_lo_ds[2] = ctl_reg_out_lo ? gdfx_temp0[2] : 1'bz;
537
assign  db_lo_ds[1] = ctl_reg_out_lo ? gdfx_temp0[1] : 1'bz;
538
assign  db_lo_ds[0] = ctl_reg_out_lo ? gdfx_temp0[0] : 1'bz;
539
 
540
assign  gdfx_temp0[7] = ctl_reg_in_lo ? db_lo_ds[7] : 1'bz;
541
assign  gdfx_temp0[6] = ctl_reg_in_lo ? db_lo_ds[6] : 1'bz;
542
assign  gdfx_temp0[5] = ctl_reg_in_lo ? db_lo_ds[5] : 1'bz;
543
assign  gdfx_temp0[4] = ctl_reg_in_lo ? db_lo_ds[4] : 1'bz;
544
assign  gdfx_temp0[3] = ctl_reg_in_lo ? db_lo_ds[3] : 1'bz;
545
assign  gdfx_temp0[2] = ctl_reg_in_lo ? db_lo_ds[2] : 1'bz;
546
assign  gdfx_temp0[1] = ctl_reg_in_lo ? db_lo_ds[1] : 1'bz;
547
assign  gdfx_temp0[0] = ctl_reg_in_lo ? db_lo_ds[0] : 1'bz;
548
 
549
assign  db_hi_ds[7] = ctl_reg_out_hi ? gdfx_temp1[7] : 1'bz;
550
assign  db_hi_ds[6] = ctl_reg_out_hi ? gdfx_temp1[6] : 1'bz;
551
assign  db_hi_ds[5] = ctl_reg_out_hi ? gdfx_temp1[5] : 1'bz;
552
assign  db_hi_ds[4] = ctl_reg_out_hi ? gdfx_temp1[4] : 1'bz;
553
assign  db_hi_ds[3] = ctl_reg_out_hi ? gdfx_temp1[3] : 1'bz;
554
assign  db_hi_ds[2] = ctl_reg_out_hi ? gdfx_temp1[2] : 1'bz;
555
assign  db_hi_ds[1] = ctl_reg_out_hi ? gdfx_temp1[1] : 1'bz;
556
assign  db_hi_ds[0] = ctl_reg_out_hi ? gdfx_temp1[0] : 1'bz;
557
 
558
assign  gdfx_temp1[7] = ctl_reg_in_hi ? db_hi_ds[7] : 1'bz;
559
assign  gdfx_temp1[6] = ctl_reg_in_hi ? db_hi_ds[6] : 1'bz;
560
assign  gdfx_temp1[5] = ctl_reg_in_hi ? db_hi_ds[5] : 1'bz;
561
assign  gdfx_temp1[4] = ctl_reg_in_hi ? db_hi_ds[4] : 1'bz;
562
assign  gdfx_temp1[3] = ctl_reg_in_hi ? db_hi_ds[3] : 1'bz;
563
assign  gdfx_temp1[2] = ctl_reg_in_hi ? db_hi_ds[2] : 1'bz;
564
assign  gdfx_temp1[1] = ctl_reg_in_hi ? db_hi_ds[1] : 1'bz;
565
assign  gdfx_temp1[0] = ctl_reg_in_hi ? db_hi_ds[0] : 1'bz;
566
 
567
 
568
endmodule

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.