OpenCores
URL https://opencores.org/ocsvn/a-z80/a-z80/trunk

Subversion Repositories a-z80

[/] [a-z80/] [trunk/] [cpu/] [registers/] [reg_latch.v] - Blame information for rev 3

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 3 gdevic
// Copyright (C) 1991-2013 Altera Corporation
2
// Your use of Altera Corporation's design tools, logic functions 
3
// and other software and tools, and its AMPP partner logic 
4
// functions, and any output files from any of the foregoing 
5
// (including device programming or simulation files), and any 
6
// associated documentation or information are expressly subject 
7
// to the terms and conditions of the Altera Program License 
8
// Subscription Agreement, Altera MegaCore Function License 
9
// Agreement, or other applicable license agreement, including, 
10
// without limitation, that your use is for the sole purpose of 
11
// programming logic devices manufactured by Altera and sold by 
12
// Altera or its authorized distributors.  Please refer to the 
13
// applicable agreement for further details.
14
 
15
// PROGRAM              "Quartus II 64-Bit"
16
// VERSION              "Version 13.0.1 Build 232 06/12/2013 Service Pack 1 SJ Web Edition"
17
// CREATED              "Fri Nov 07 10:28:37 2014"
18
 
19
module reg_latch(
20
        we,
21
        oe,
22
        clk,
23
        db
24
);
25
 
26
 
27
input wire      we;
28
input wire      oe;
29
input wire      clk;
30
inout wire      [7:0] db;
31
 
32
reg     [7:0] latch;
33
 
34
 
35
 
36
 
37
assign  db[7] = oe ? latch[7] : 1'bz;
38
assign  db[6] = oe ? latch[6] : 1'bz;
39
assign  db[5] = oe ? latch[5] : 1'bz;
40
assign  db[4] = oe ? latch[4] : 1'bz;
41
assign  db[3] = oe ? latch[3] : 1'bz;
42
assign  db[2] = oe ? latch[2] : 1'bz;
43
assign  db[1] = oe ? latch[1] : 1'bz;
44
assign  db[0] = oe ? latch[0] : 1'bz;
45
 
46
 
47
always@(posedge clk)
48
begin
49
if (we)
50
        begin
51
        latch[7:0] <= db[7:0];
52
        end
53
end
54
 
55
 
56
endmodule

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.