OpenCores
URL https://opencores.org/ocsvn/a-z80/a-z80/trunk

Subversion Repositories a-z80

[/] [a-z80/] [trunk/] [cpu/] [toplevel/] [simulation/] [modelsim/] [test_top.mpf] - Blame information for rev 8

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 3 gdevic
; Copyright 1991-2009 Mentor Graphics Corporation
2
;
3
; All Rights Reserved.
4
;
5 8 gdevic
; THIS WORK CONTAINS TRADE SECRET AND PROPRIETARY INFORMATION WHICH IS THE PROPERTY OF
6 3 gdevic
; MENTOR GRAPHICS CORPORATION OR ITS LICENSORS AND IS SUBJECT TO LICENSE TERMS.
7 8 gdevic
;
8 3 gdevic
 
9
[Library]
10
std = $MODEL_TECH/../std
11
ieee = $MODEL_TECH/../ieee
12
verilog = $MODEL_TECH/../verilog
13
vital2000 = $MODEL_TECH/../vital2000
14
std_developerskit = $MODEL_TECH/../std_developerskit
15
synopsys = $MODEL_TECH/../synopsys
16
modelsim_lib = $MODEL_TECH/../modelsim_lib
17
sv_std = $MODEL_TECH/../sv_std
18
 
19
; Altera Primitive libraries
20
;
21
; VHDL Section
22
;
23
altera_mf = $MODEL_TECH/../altera/vhdl/altera_mf
24
altera = $MODEL_TECH/../altera/vhdl/altera
25
altera_lnsim = $MODEL_TECH/../altera/vhdl/altera_lnsim
26
lpm = $MODEL_TECH/../altera/vhdl/220model
27
220model = $MODEL_TECH/../altera/vhdl/220model
28
max = $MODEL_TECH/../altera/vhdl/max
29
maxii = $MODEL_TECH/../altera/vhdl/maxii
30
maxv = $MODEL_TECH/../altera/vhdl/maxv
31
stratix = $MODEL_TECH/../altera/vhdl/stratix
32
stratixii = $MODEL_TECH/../altera/vhdl/stratixii
33
stratixiigx = $MODEL_TECH/../altera/vhdl/stratixiigx
34
hardcopyii = $MODEL_TECH/../altera/vhdl/hardcopyii
35
hardcopyiii = $MODEL_TECH/../altera/vhdl/hardcopyiii
36
hardcopyiv = $MODEL_TECH/../altera/vhdl/hardcopyiv
37
cyclone = $MODEL_TECH/../altera/vhdl/cyclone
38
cycloneii = $MODEL_TECH/../altera/vhdl/cycloneii
39
cycloneiii = $MODEL_TECH/../altera/vhdl/cycloneiii
40
cycloneiiils = $MODEL_TECH/../altera/vhdl/cycloneiiils
41
sgate = $MODEL_TECH/../altera/vhdl/sgate
42
stratixgx = $MODEL_TECH/../altera/vhdl/stratixgx
43
altgxb = $MODEL_TECH/../altera/vhdl/altgxb
44
stratixgx_gxb = $MODEL_TECH/../altera/vhdl/stratixgx_gxb
45
stratixiigx_hssi = $MODEL_TECH/../altera/vhdl/stratixiigx_hssi
46
arriagx_hssi = $MODEL_TECH/../altera/vhdl/arriagx_hssi
47
arriaii = $MODEL_TECH/../altera/vhdl/arriaii
48
arriaii_hssi = $MODEL_TECH/../altera/vhdl/arriaii_hssi
49
arriaii_pcie_hip = $MODEL_TECH/../altera/vhdl/arriaii_pcie_hip
50
arriaiigz = $MODEL_TECH/../altera/vhdl/arriaiigz
51
arriaiigz_hssi = $MODEL_TECH/../altera/vhdl/arriaiigz_hssi
52
arriaiigz_pcie_hip = $MODEL_TECH/../altera/vhdl/arriaiigz_pcie_hip
53
arriagx = $MODEL_TECH/../altera/vhdl/arriagx
54
altgxb_lib = $MODEL_TECH/../altera/vhdl/altgxb
55
stratixiv = $MODEL_TECH/../altera/vhdl/stratixiv
56
stratixiv_hssi = $MODEL_TECH/../altera/vhdl/stratixiv_hssi
57
stratixiv_pcie_hip = $MODEL_TECH/../altera/vhdl/stratixiv_pcie_hip
58
cycloneiv = $MODEL_TECH/../altera/vhdl/cycloneiv
59
cycloneiv_hssi = $MODEL_TECH/../altera/vhdl/cycloneiv_hssi
60
cycloneiv_pcie_hip = $MODEL_TECH/../altera/vhdl/cycloneiv_pcie_hip
61
cycloneive = $MODEL_TECH/../altera/vhdl/cycloneive
62
hardcopyiv_hssi = $MODEL_TECH/../altera/vhdl/hardcopyiv_hssi
63
hardcopyiv_pcie_hip = $MODEL_TECH/../altera/vhdl/hardcopyiv_pcie_hip
64
stratixv = $MODEL_TECH/../altera/vhdl/stratixv
65
stratixv_hssi = $MODEL_TECH/../altera/vhdl/stratixv_hssi
66
stratixv_pcie_hip = $MODEL_TECH/../altera/vhdl/stratixv_pcie_hip
67
arriavgz = $MODEL_TECH/../altera/vhdl/arriavgz
68
arriavgz_hssi = $MODEL_TECH/../altera/vhdl/arriavgz_hssi
69
arriavgz_pcie_hip = $MODEL_TECH/../altera/vhdl/arriavgz_pcie_hip
70
arriav = $MODEL_TECH/../altera/vhdl/arriav
71
cyclonev = $MODEL_TECH/../altera/vhdl/cyclonev
72
;
73
; Verilog Section
74
;
75
altera_mf_ver = $MODEL_TECH/../altera/verilog/altera_mf
76
altera_ver = $MODEL_TECH/../altera/verilog/altera
77
altera_lnsim_ver = $MODEL_TECH/../altera/verilog/altera_lnsim
78
lpm_ver = $MODEL_TECH/../altera/verilog/220model
79
220model_ver = $MODEL_TECH/../altera/verilog/220model
80
max_ver = $MODEL_TECH/../altera/verilog/max
81
maxii_ver = $MODEL_TECH/../altera/verilog/maxii
82
maxv_ver = $MODEL_TECH/../altera/verilog/maxv
83
stratix_ver = $MODEL_TECH/../altera/verilog/stratix
84
stratixii_ver = $MODEL_TECH/../altera/verilog/stratixii
85
stratixiigx_ver = $MODEL_TECH/../altera/verilog/stratixiigx
86
arriagx_ver = $MODEL_TECH/../altera/verilog/arriagx
87
hardcopyii_ver = $MODEL_TECH/../altera/verilog/hardcopyii
88
hardcopyiii_ver = $MODEL_TECH/../altera/verilog/hardcopyiii
89
hardcopyiv_ver = $MODEL_TECH/../altera/verilog/hardcopyiv
90
cyclone_ver = $MODEL_TECH/../altera/verilog/cyclone
91
cycloneii_ver = $MODEL_TECH/../altera/verilog/cycloneii
92
cycloneiii_ver = $MODEL_TECH/../altera/verilog/cycloneiii
93
cycloneiiils_ver = $MODEL_TECH/../altera/verilog/cycloneiiils
94
sgate_ver = $MODEL_TECH/../altera/verilog/sgate
95
stratixgx_ver = $MODEL_TECH/../altera/verilog/stratixgx
96
altgxb_ver = $MODEL_TECH/../altera/verilog/altgxb
97
stratixgx_gxb_ver = $MODEL_TECH/../altera/verilog/stratixgx_gxb
98
stratixiigx_hssi_ver = $MODEL_TECH/../altera/verilog/stratixiigx_hssi
99
arriagx_hssi_ver = $MODEL_TECH/../altera/verilog/arriagx_hssi
100
arriaii_ver = $MODEL_TECH/../altera/verilog/arriaii
101
arriaii_hssi_ver = $MODEL_TECH/../altera/verilog/arriaii_hssi
102
arriaii_pcie_hip_ver = $MODEL_TECH/../altera/verilog/arriaii_pcie_hip
103
arriaiigz_ver = $MODEL_TECH/../altera/verilog/arriaiigz
104
arriaiigz_hssi_ver = $MODEL_TECH/../altera/verilog/arriaiigz_hssi
105
arriaiigz_pcie_hip_ver = $MODEL_TECH/../altera/verilog/arriaiigz_pcie_hip
106
stratixiii_ver = $MODEL_TECH/../altera/verilog/stratixiii
107
stratixiii = $MODEL_TECH/../altera/vhdl/stratixiii
108
stratixiv_ver = $MODEL_TECH/../altera/verilog/stratixiv
109
stratixiv_hssi_ver = $MODEL_TECH/../altera/verilog/stratixiv_hssi
110
stratixiv_pcie_hip_ver = $MODEL_TECH/../altera/verilog/stratixiv_pcie_hip
111
stratixv_ver = $MODEL_TECH/../altera/verilog/stratixv
112
stratixv_hssi_ver = $MODEL_TECH/../altera/verilog/stratixv_hssi
113
stratixv_pcie_hip_ver = $MODEL_TECH/../altera/verilog/stratixv_pcie_hip
114
arriavgz_ver = $MODEL_TECH/../altera/verilog/arriavgz
115
arriavgz_hssi_ver = $MODEL_TECH/../altera/verilog/arriavgz_hssi
116
arriavgz_pcie_hip_ver = $MODEL_TECH/../altera/verilog/arriavgz_pcie_hip
117
arriav_ver = $MODEL_TECH/../altera/verilog/arriav
118
arriav_hssi_ver = $MODEL_TECH/../altera/verilog/arriav_hssi
119
arriav_pcie_hip_ver = $MODEL_TECH/../altera/verilog/arriav_pcie_hip
120
cyclonev_ver = $MODEL_TECH/../altera/verilog/cyclonev
121
cyclonev_hssi_ver = $MODEL_TECH/../altera/verilog/cyclonev_hssi
122
cyclonev_pcie_hip_ver = $MODEL_TECH/../altera/verilog/cyclonev_pcie_hip
123
cycloneiv_ver = $MODEL_TECH/../altera/verilog/cycloneiv
124
cycloneiv_hssi_ver = $MODEL_TECH/../altera/verilog/cycloneiv_hssi
125
cycloneiv_pcie_hip_ver = $MODEL_TECH/../altera/verilog/cycloneiv_pcie_hip
126
cycloneive_ver = $MODEL_TECH/../altera/verilog/cycloneive
127
hardcopyiv_hssi_ver = $MODEL_TECH/../altera/verilog/hardcopyiv_hssi
128
hardcopyiv_pcie_hip_ver = $MODEL_TECH/../altera/verilog/hardcopyiv_pcie_hip
129
 
130
[vcom]
131 8 gdevic
; VHDL93 variable selects language version as the default.
132 3 gdevic
; Default is VHDL-2002.
133
; Value of 0 or 1987 for VHDL-1987.
134
; Value of 1 or 1993 for VHDL-1993.
135
; Default or value of 2 or 2002 for VHDL-2002.
136
; Default or value of 3 or 2008 for VHDL-2008.
137
VHDL93 = 2002
138
 
139
; Show source line containing error. Default is off.
140
; Show_source = 1
141
 
142
; Turn off unbound-component warnings. Default is on.
143
; Show_Warning1 = 0
144
 
145
; Turn off process-without-a-wait-statement warnings. Default is on.
146
; Show_Warning2 = 0
147
 
148
; Turn off null-range warnings. Default is on.
149
; Show_Warning3 = 0
150
 
151
; Turn off no-space-in-time-literal warnings. Default is on.
152
; Show_Warning4 = 0
153
 
154
; Turn off multiple-drivers-on-unresolved-signal warnings. Default is on.
155
; Show_Warning5 = 0
156
 
157
; Turn off optimization for IEEE std_logic_1164 package. Default is on.
158
; Optimize_1164 = 0
159
 
160
; Turn on resolving of ambiguous function overloading in favor of the
161
; "explicit" function declaration (not the one automatically created by
162
; the compiler for each type declaration). Default is off.
163
; The .ini file has Explicit enabled so that std_logic_signed/unsigned
164
; will match the behavior of synthesis tools.
165
Explicit = 1
166
 
167
; Turn off acceleration of the VITAL packages. Default is to accelerate.
168
; NoVital = 1
169
 
170
; Turn off VITAL compliance checking. Default is checking on.
171
; NoVitalCheck = 1
172
 
173
; Ignore VITAL compliance checking errors. Default is to not ignore.
174
; IgnoreVitalErrors = 1
175
 
176
; Turn off VITAL compliance checking warnings. Default is to show warnings.
177
; Show_VitalChecksWarnings = 0
178
 
179
; Keep silent about case statement static warnings.
180
; Default is to give a warning.
181
; NoCaseStaticError = 1
182
 
183
; Keep silent about warnings caused by aggregates that are not locally static.
184
; Default is to give a warning.
185
; NoOthersStaticError = 1
186
 
187
; Turn off inclusion of debugging info within design units.
188
; Default is to include debugging info.
189
; NoDebug = 1
190
 
191
; Turn off "Loading..." messages. Default is messages on.
192
; Quiet = 1
193
 
194
; Turn on some limited synthesis rule compliance checking. Checks only:
195
;    -- signals used (read) by a process must be in the sensitivity list
196
; CheckSynthesis = 1
197
 
198
; Activate optimizations on expressions that do not involve signals,
199
; waits, or function/procedure/task invocations. Default is off.
200
; ScalarOpts = 1
201
 
202
; Require the user to specify a configuration for all bindings,
203
; and do not generate a compile time default binding for the
204
; component. This will result in an elaboration error of
205
; 'component not bound' if the user fails to do so. Avoids the rare
206
; issue of a false dependency upon the unused default binding.
207
; RequireConfigForAllDefaultBinding = 1
208
 
209
; Inhibit range checking on subscripts of arrays. Range checking on
210
; scalars defined with subtypes is inhibited by default.
211
; NoIndexCheck = 1
212
 
213
; Inhibit range checks on all (implicit and explicit) assignments to
214
; scalar objects defined with subtypes.
215
; NoRangeCheck = 1
216
 
217
[vlog]
218
 
219
; Turn off inclusion of debugging info within design units.
220
; Default is to include debugging info.
221
; NoDebug = 1
222
 
223
; Turn off "loading..." messages. Default is messages on.
224
; Quiet = 1
225
 
226
; Turn on Verilog hazard checking (order-dependent accessing of global vars).
227
; Default is off.
228
; Hazard = 1
229
 
230
; Turn on converting regular Verilog identifiers to uppercase. Allows case
231
; insensitivity for module names. Default is no conversion.
232
; UpCase = 1
233
 
234
; Turn on incremental compilation of modules. Default is off.
235
; Incremental = 1
236
 
237
; Turns on lint-style checking.
238
; Show_Lint = 1
239
 
240
[vsim]
241
; Simulator resolution
242
; Set to fs, ps, ns, us, ms, or sec with optional prefix of 1, 10, or 100.
243
Resolution = ps
244
 
245
; User time unit for run commands
246
; Set to default, fs, ps, ns, us, ms, or sec. The default is to use the
247
; unit specified for Resolution. For example, if Resolution is 100ps,
248
; then UserTimeUnit defaults to ps.
249
; Should generally be set to default.
250
UserTimeUnit = default
251
 
252
; Default run length
253
RunLength = 1 us
254
 
255
; Maximum iterations that can be run without advancing simulation time
256
IterationLimit = 5000
257
 
258
; Directive to license manager:
259
; vhdl          Immediately reserve a VHDL license
260
; vlog          Immediately reserve a Verilog license
261
; plus          Immediately reserve a VHDL and Verilog license
262
; nomgc         Do not look for Mentor Graphics Licenses
263
; nomti         Do not look for Model Technology Licenses
264
; noqueue       Do not wait in the license queue when a license isn't available
265
; viewsim       Try for viewer license but accept simulator license(s) instead
266
;               of queuing for viewer license
267
; License = plus
268
 
269
; Stop the simulator after a VHDL/Verilog assertion message
270
; 0 = Note  1 = Warning  2 = Error  3 = Failure  4 = Fatal
271
BreakOnAssertion = 3
272
 
273
; Assertion Message Format
274 8 gdevic
; %S - Severity Level
275 3 gdevic
; %R - Report Message
276
; %T - Time of assertion
277
; %D - Delta
278
; %I - Instance or Region pathname (if available)
279
; %% - print '%' character
280
; AssertionFormat = "** %S: %R\n   Time: %T  Iteration: %D%I\n"
281
 
282
; Assertion File - alternate file for storing VHDL/Verilog assertion messages
283
; AssertFile = assert.log
284
 
285
; Default radix for all windows and commands...
286
; Set to symbolic, ascii, binary, octal, decimal, hex, unsigned
287
DefaultRadix = hexadecimal
288
 
289
; VSIM Startup command
290
; Startup = do startup.do
291
 
292
; File for saving command transcript
293
TranscriptFile = transcript
294
 
295
; File for saving command history
296
; CommandHistory = cmdhist.log
297
 
298
; Specify whether paths in simulator commands should be described
299
; in VHDL or Verilog format.
300
; For VHDL, PathSeparator = /
301
; For Verilog, PathSeparator = .
302
; Must not be the same character as DatasetSeparator.
303
PathSeparator = /
304
 
305
; Specify the dataset separator for fully rooted contexts.
306
; The default is ':'. For example, sim:/top
307
; Must not be the same character as PathSeparator.
308
DatasetSeparator = :
309
 
310
; Disable VHDL assertion messages
311
; IgnoreNote = 1
312
; IgnoreWarning = 1
313
; IgnoreError = 1
314
; IgnoreFailure = 1
315
 
316
; Default force kind. May be freeze, drive, deposit, or default
317
; or in other terms, fixed, wired, or charged.
318
; A value of "default" will use the signal kind to determine the
319
; force kind, drive for resolved signals, freeze for unresolved signals
320
; DefaultForceKind = freeze
321
 
322
; If zero, open files when elaborated; otherwise, open files on
323
; first read or write.  Default is 0.
324
; DelayFileOpen = 1
325
 
326
; Control VHDL files opened for write.
327
;   0 = Buffered, 1 = Unbuffered
328
UnbufferedOutput = 0
329
 
330
; Control the number of VHDL files open concurrently.
331
; This number should always be less than the current ulimit
332
; setting for max file descriptors.
333
;   0 = unlimited
334
ConcurrentFileLimit = 40
335
 
336
; Control the number of hierarchical regions displayed as
337
; part of a signal name shown in the Wave window.
338
; A value of zero tells VSIM to display the full name.
339
; The default is 0.
340
; WaveSignalNameWidth = 0
341
 
342
; Turn off warnings from the std_logic_arith, std_logic_unsigned
343
; and std_logic_signed packages.
344
; StdArithNoWarnings = 1
345
 
346
; Turn off warnings from the IEEE numeric_std and numeric_bit packages.
347
; NumericStdNoWarnings = 1
348
 
349
; Control the format of the (VHDL) FOR generate statement label
350
; for each iteration.  Do not quote it.
351
; The format string here must contain the conversion codes %s and %d,
352
; in that order, and no other conversion codes.  The %s represents
353
; the generate_label; the %d represents the generate parameter value
354
; at a particular generate iteration (this is the position number if
355
; the generate parameter is of an enumeration type).  Embedded whitespace
356
; is allowed (but discouraged); leading and trailing whitespace is ignored.
357
; Application of the format must result in a unique scope name over all
358
; such names in the design so that name lookup can function properly.
359
; GenerateFormat = %s__%d
360
 
361
; Specify whether checkpoint files should be compressed.
362
; The default is 1 (compressed).
363
; CheckpointCompressMode = 0
364
 
365
; List of dynamically loaded objects for Verilog PLI applications
366
; Veriuser = veriuser.sl
367
 
368
; Specify default options for the restart command. Options can be one
369
; or more of: -force -nobreakpoint -nolist -nolog -nowave
370
; DefaultRestartOptions = -force
371
 
372
; HP-UX 10.20 ONLY - Enable memory locking to speed up large designs
373
; (> 500 megabyte memory footprint). Default is disabled.
374
; Specify number of megabytes to lock.
375
; LockedMemory = 1000
376
 
377
; Turn on (1) or off (0) WLF file compression.
378
; The default is 1 (compress WLF file).
379
; WLFCompress = 0
380
 
381
; Specify whether to save all design hierarchy (1) in the WLF file
382
; or only regions containing logged signals (0).
383
; The default is 0 (save only regions with logged signals).
384
; WLFSaveAllRegions = 1
385
 
386
; WLF file time limit.  Limit WLF file by time, as closely as possible,
387
; to the specified amount of simulation time.  When the limit is exceeded
388
; the earliest times get truncated from the file.
389
; If both time and size limits are specified the most restrictive is used.
390
; UserTimeUnits are used if time units are not specified.
391
; The default is 0 (no limit).  Example: WLFTimeLimit = {100 ms}
392
; WLFTimeLimit = 0
393
 
394
; WLF file size limit.  Limit WLF file size, as closely as possible,
395
; to the specified number of megabytes.  If both time and size limits
396
; are specified then the most restrictive is used.
397
; The default is 0 (no limit).
398
; WLFSizeLimit = 1000
399
 
400
; Specify whether or not a WLF file should be deleted when the
401
; simulation ends.  A value of 1 will cause the WLF file to be deleted.
402
; The default is 0 (do not delete WLF file when simulation ends).
403
; WLFDeleteOnQuit = 1
404
 
405
; Automatic SDF compilation
406
; Disables automatic compilation of SDF files in flows that support it.
407
; Default is on, uncomment to turn off.
408
; NoAutoSDFCompile = 1
409
 
410
[lmc]
411
 
412
[msg_system]
413
; Change a message severity or suppress a message.
414
; The format is:  = [,...]
415
; Examples:
416
;   note = 3009
417
;   warning = 3033
418
;   error = 3010,3016
419
;   fatal = 3016,3033
420
;   suppress = 3009,3016,3043
421
; The command verror  can be used to get the complete
422
; description of a message.
423
 
424
; Control transcripting of elaboration/runtime messages.
425 8 gdevic
; The default is to have messages appear in the transcript and
426 3 gdevic
; recorded in the wlf file (messages that are recorded in the
427
; wlf file can be viewed in the MsgViewer).  The other settings
428 8 gdevic
; are to send messages only to the transcript or only to the
429 3 gdevic
; wlf file.  The valid values are
430
;    both  {default}
431
;    tran  {transcript only}
432
;    wlf   {wlf file only}
433
; msgmode = both
434
[Project]
435
; Warning -- Do not edit the project properties directly.
436
;            Property names are dynamic in nature and property
437
;            values have special syntax.  Changing property data directly
438
;            can result in a corrupt MPF file.  All project properties
439
;            can be modified through project window dialogs.
440
Project_Version = 6
441
Project_DefaultLib = work
442
Project_SortMethod = unused
443
Project_Files_Count = 45
444
Project_File_0 = $ROOT/cpu/alu/alu.v
445
Project_File_P_0 = compile_order 0 compile_to work cover_branch 0 cover_cond 0 cover_covercells 0 cover_excludedefault 0 cover_expr 0 cover_exttoggle 0 cover_fsm 0 cover_nofec 0 cover_noshort 0 cover_optlevel 3 cover_stmt 0 cover_toggle 0 dont_compile 0 file_type verilog folder alu group_id 0 last_compile 1 ood 0 toggle - vlog_0InOptions {} vlog_1995compat 0 vlog_disableopt 0 vlog_enable0In 0 vlog_hazard 0 vlog_nodebug 0 vlog_noload 0 vlog_options {} vlog_protect 0 vlog_showsource 0 vlog_upper 0 voptflow 1
446
Project_File_1 = $ROOT/cpu/alu/alu_bit_select.v
447
Project_File_P_1 = compile_order 1 compile_to work cover_branch 0 cover_cond 0 cover_covercells 0 cover_excludedefault 0 cover_expr 0 cover_exttoggle 0 cover_fsm 0 cover_nofec 0 cover_noshort 0 cover_optlevel 3 cover_stmt 0 cover_toggle 0 dont_compile 0 file_type verilog folder alu group_id 0 last_compile 1 ood 0 toggle - vlog_0InOptions {} vlog_1995compat 0 vlog_disableopt 0 vlog_enable0In 0 vlog_hazard 0 vlog_nodebug 0 vlog_noload 0 vlog_options {} vlog_protect 0 vlog_showsource 0 vlog_upper 0 voptflow 1
448
Project_File_2 = $ROOT/cpu/alu/alu_control.v
449
Project_File_P_2 = compile_order 2 compile_to work cover_branch 0 cover_cond 0 cover_covercells 0 cover_excludedefault 0 cover_expr 0 cover_exttoggle 0 cover_fsm 0 cover_nofec 0 cover_noshort 0 cover_optlevel 3 cover_stmt 0 cover_toggle 0 dont_compile 0 file_type verilog folder alu group_id 0 last_compile 1 ood 0 toggle - vlog_0InOptions {} vlog_1995compat 0 vlog_disableopt 0 vlog_enable0In 0 vlog_hazard 0 vlog_nodebug 0 vlog_noload 0 vlog_options {} vlog_protect 0 vlog_showsource 0 vlog_upper 0 voptflow 1
450
Project_File_3 = $ROOT/cpu/alu/alu_core.v
451
Project_File_P_3 = compile_order 3 compile_to work cover_branch 0 cover_cond 0 cover_covercells 0 cover_excludedefault 0 cover_expr 0 cover_exttoggle 0 cover_fsm 0 cover_nofec 0 cover_noshort 0 cover_optlevel 3 cover_stmt 0 cover_toggle 0 dont_compile 0 file_type verilog folder alu group_id 0 last_compile 1 ood 0 toggle - vlog_0InOptions {} vlog_1995compat 0 vlog_disableopt 0 vlog_enable0In 0 vlog_hazard 0 vlog_nodebug 0 vlog_noload 0 vlog_options {} vlog_protect 0 vlog_showsource 0 vlog_upper 0 voptflow 1
452
Project_File_4 = $ROOT/cpu/alu/alu_flags.v
453
Project_File_P_4 = compile_order 4 compile_to work cover_branch 0 cover_cond 0 cover_covercells 0 cover_excludedefault 0 cover_expr 0 cover_exttoggle 0 cover_fsm 0 cover_nofec 0 cover_noshort 0 cover_optlevel 3 cover_stmt 0 cover_toggle 0 dont_compile 0 file_type verilog folder alu group_id 0 last_compile 1 ood 0 toggle - vlog_0InOptions {} vlog_1995compat 0 vlog_disableopt 0 vlog_enable0In 0 vlog_hazard 0 vlog_nodebug 0 vlog_noload 0 vlog_options {} vlog_protect 0 vlog_showsource 0 vlog_upper 0 voptflow 1
454
Project_File_5 = $ROOT/cpu/alu/alu_mux_2.v
455
Project_File_P_5 = compile_order 40 compile_to work cover_branch 0 cover_cond 0 cover_covercells 0 cover_excludedefault 0 cover_expr 0 cover_exttoggle 0 cover_fsm 0 cover_nofec 0 cover_noshort 0 cover_optlevel 3 cover_stmt 0 cover_toggle 0 dont_compile 0 file_type verilog folder alu group_id 0 last_compile 1 ood 0 toggle - vlog_0InOptions {} vlog_1995compat 0 vlog_disableopt 0 vlog_enable0In 0 vlog_hazard 0 vlog_nodebug 0 vlog_noload 0 vlog_options {} vlog_protect 0 vlog_showsource 0 vlog_upper 0 voptflow 1
456
Project_File_6 = $ROOT/cpu/alu/alu_mux_2z.v
457
Project_File_P_6 = compile_order 5 compile_to work cover_branch 0 cover_cond 0 cover_covercells 0 cover_excludedefault 0 cover_expr 0 cover_exttoggle 0 cover_fsm 0 cover_nofec 0 cover_noshort 0 cover_optlevel 3 cover_stmt 0 cover_toggle 0 dont_compile 0 file_type verilog folder alu group_id 0 last_compile 1 ood 0 toggle - vlog_0InOptions {} vlog_1995compat 0 vlog_disableopt 0 vlog_enable0In 0 vlog_hazard 0 vlog_nodebug 0 vlog_noload 0 vlog_options {} vlog_protect 0 vlog_showsource 0 vlog_upper 0 voptflow 1
458
Project_File_7 = $ROOT/cpu/alu/alu_mux_3z.v
459
Project_File_P_7 = compile_order 6 compile_to work cover_branch 0 cover_cond 0 cover_covercells 0 cover_excludedefault 0 cover_expr 0 cover_exttoggle 0 cover_fsm 0 cover_nofec 0 cover_noshort 0 cover_optlevel 3 cover_stmt 0 cover_toggle 0 dont_compile 0 file_type verilog folder alu group_id 0 last_compile 1 ood 0 toggle - vlog_0InOptions {} vlog_1995compat 0 vlog_disableopt 0 vlog_enable0In 0 vlog_hazard 0 vlog_nodebug 0 vlog_noload 0 vlog_options {} vlog_protect 0 vlog_showsource 0 vlog_upper 0 voptflow 1
460
Project_File_8 = $ROOT/cpu/alu/alu_mux_4.v
461
Project_File_P_8 = compile_order 7 compile_to work cover_branch 0 cover_cond 0 cover_covercells 0 cover_excludedefault 0 cover_expr 0 cover_exttoggle 0 cover_fsm 0 cover_nofec 0 cover_noshort 0 cover_optlevel 3 cover_stmt 0 cover_toggle 0 dont_compile 0 file_type verilog folder alu group_id 0 last_compile 1 ood 0 toggle - vlog_0InOptions {} vlog_1995compat 0 vlog_disableopt 0 vlog_enable0In 0 vlog_hazard 0 vlog_nodebug 0 vlog_noload 0 vlog_options {} vlog_protect 0 vlog_showsource 0 vlog_upper 0 voptflow 1
462
Project_File_9 = $ROOT/cpu/alu/alu_mux_8.v
463
Project_File_P_9 = compile_order 8 compile_to work cover_branch 0 cover_cond 0 cover_covercells 0 cover_excludedefault 0 cover_expr 0 cover_exttoggle 0 cover_fsm 0 cover_nofec 0 cover_noshort 0 cover_optlevel 3 cover_stmt 0 cover_toggle 0 dont_compile 0 file_type verilog folder alu group_id 0 last_compile 1 ood 0 toggle - vlog_0InOptions {} vlog_1995compat 0 vlog_disableopt 0 vlog_enable0In 0 vlog_hazard 0 vlog_nodebug 0 vlog_noload 0 vlog_options {} vlog_protect 0 vlog_showsource 0 vlog_upper 0 voptflow 1
464
Project_File_10 = $ROOT/cpu/alu/alu_prep_daa.v
465
Project_File_P_10 = compile_order 9 compile_to work cover_branch 0 cover_cond 0 cover_covercells 0 cover_excludedefault 0 cover_expr 0 cover_exttoggle 0 cover_fsm 0 cover_nofec 0 cover_noshort 0 cover_optlevel 3 cover_stmt 0 cover_toggle 0 dont_compile 0 file_type verilog folder alu group_id 0 last_compile 1 ood 0 toggle - vlog_0InOptions {} vlog_1995compat 0 vlog_disableopt 0 vlog_enable0In 0 vlog_hazard 0 vlog_nodebug 0 vlog_noload 0 vlog_options {} vlog_protect 0 vlog_showsource 0 vlog_upper 0 voptflow 1
466
Project_File_11 = $ROOT/cpu/alu/alu_select.v
467
Project_File_P_11 = compile_order 26 compile_to work cover_branch 0 cover_cond 0 cover_covercells 0 cover_excludedefault 0 cover_expr 0 cover_exttoggle 0 cover_fsm 0 cover_nofec 0 cover_noshort 0 cover_optlevel 3 cover_stmt 0 cover_toggle 0 dont_compile 0 file_type verilog folder alu group_id 0 last_compile 1 ood 0 toggle - vlog_0InOptions {} vlog_1995compat 0 vlog_disableopt 0 vlog_enable0In 0 vlog_hazard 0 vlog_nodebug 0 vlog_noload 0 vlog_options {} vlog_protect 0 vlog_showsource 0 vlog_upper 0 voptflow 1
468
Project_File_12 = $ROOT/cpu/alu/alu_shifter_core.v
469
Project_File_P_12 = compile_order 10 compile_to work cover_branch 0 cover_cond 0 cover_covercells 0 cover_excludedefault 0 cover_expr 0 cover_exttoggle 0 cover_fsm 0 cover_nofec 0 cover_noshort 0 cover_optlevel 3 cover_stmt 0 cover_toggle 0 dont_compile 0 file_type verilog folder alu group_id 0 last_compile 1 ood 0 toggle - vlog_0InOptions {} vlog_1995compat 0 vlog_disableopt 0 vlog_enable0In 0 vlog_hazard 0 vlog_nodebug 0 vlog_noload 0 vlog_options {} vlog_protect 0 vlog_showsource 0 vlog_upper 0 voptflow 1
470
Project_File_13 = $ROOT/cpu/alu/alu_slice.v
471
Project_File_P_13 = compile_order 11 compile_to work cover_branch 0 cover_cond 0 cover_covercells 0 cover_excludedefault 0 cover_expr 0 cover_exttoggle 0 cover_fsm 0 cover_nofec 0 cover_noshort 0 cover_optlevel 3 cover_stmt 0 cover_toggle 0 dont_compile 0 file_type verilog folder alu group_id 0 last_compile 1 ood 0 toggle - vlog_0InOptions {} vlog_1995compat 0 vlog_disableopt 0 vlog_enable0In 0 vlog_hazard 0 vlog_nodebug 0 vlog_noload 0 vlog_options {} vlog_protect 0 vlog_showsource 0 vlog_upper 0 voptflow 1
472
Project_File_14 = $ROOT/cpu/bus/address_latch.v
473
Project_File_P_14 = compile_order 12 compile_to work cover_branch 0 cover_cond 0 cover_covercells 0 cover_excludedefault 0 cover_expr 0 cover_exttoggle 0 cover_fsm 0 cover_nofec 0 cover_noshort 0 cover_optlevel 3 cover_stmt 0 cover_toggle 0 dont_compile 0 file_type verilog folder bus group_id 0 last_compile 1 ood 0 toggle - vlog_0InOptions {} vlog_1995compat 0 vlog_disableopt 0 vlog_enable0In 0 vlog_hazard 0 vlog_nodebug 0 vlog_noload 0 vlog_options {} vlog_protect 0 vlog_showsource 0 vlog_upper 0 voptflow 1
474
Project_File_15 = $ROOT/cpu/bus/address_mux.v
475
Project_File_P_15 = compile_order 39 compile_to work cover_branch 0 cover_cond 0 cover_covercells 0 cover_excludedefault 0 cover_expr 0 cover_exttoggle 0 cover_fsm 0 cover_nofec 0 cover_noshort 0 cover_optlevel 3 cover_stmt 0 cover_toggle 0 dont_compile 0 file_type verilog folder bus group_id 0 last_compile 1 ood 0 toggle - vlog_0InOptions {} vlog_1995compat 0 vlog_disableopt 0 vlog_enable0In 0 vlog_hazard 0 vlog_nodebug 0 vlog_noload 0 vlog_options {} vlog_protect 0 vlog_showsource 0 vlog_upper 0 voptflow 1
476
Project_File_16 = $ROOT/cpu/bus/address_pins.v
477
Project_File_P_16 = compile_order 13 compile_to work cover_branch 0 cover_cond 0 cover_covercells 0 cover_excludedefault 0 cover_expr 0 cover_exttoggle 0 cover_fsm 0 cover_nofec 0 cover_noshort 0 cover_optlevel 3 cover_stmt 0 cover_toggle 0 dont_compile 0 file_type verilog folder bus group_id 0 last_compile 1 ood 0 toggle - vlog_0InOptions {} vlog_1995compat 0 vlog_disableopt 0 vlog_enable0In 0 vlog_hazard 0 vlog_nodebug 0 vlog_noload 0 vlog_options {} vlog_protect 0 vlog_showsource 0 vlog_upper 0 voptflow 1
478
Project_File_17 = $ROOT/cpu/bus/bus_control.v
479
Project_File_P_17 = compile_order 32 compile_to work cover_branch 0 cover_cond 0 cover_covercells 0 cover_excludedefault 0 cover_expr 0 cover_exttoggle 0 cover_fsm 0 cover_nofec 0 cover_noshort 0 cover_optlevel 3 cover_stmt 0 cover_toggle 0 dont_compile 0 file_type verilog folder bus group_id 0 last_compile 1 ood 0 toggle - vlog_0InOptions {} vlog_1995compat 0 vlog_disableopt 0 vlog_enable0In 0 vlog_hazard 0 vlog_nodebug 0 vlog_noload 0 vlog_options {} vlog_protect 0 vlog_showsource 0 vlog_upper 0 voptflow 1
480 8 gdevic
Project_File_18 = $ROOT/cpu/bus/bus_switch.v
481 3 gdevic
Project_File_P_18 = compile_order 30 compile_to work cover_branch 0 cover_cond 0 cover_covercells 0 cover_excludedefault 0 cover_expr 0 cover_exttoggle 0 cover_fsm 0 cover_nofec 0 cover_noshort 0 cover_optlevel 3 cover_stmt 0 cover_toggle 0 dont_compile 0 file_type systemverilog folder bus group_id 0 last_compile 1 ood 0 toggle - vlog_0InOptions {} vlog_1995compat SV vlog_disableopt 0 vlog_enable0In 0 vlog_hazard 0 vlog_nodebug 0 vlog_noload 0 vlog_options {} vlog_protect 0 vlog_showsource 0 vlog_upper 0 voptflow 1
482
Project_File_19 = $ROOT/cpu/bus/control_pins_n.v
483
Project_File_P_19 = compile_order 42 compile_to work cover_branch 0 cover_cond 0 cover_covercells 0 cover_excludedefault 0 cover_expr 0 cover_exttoggle 0 cover_fsm 0 cover_nofec 0 cover_noshort 0 cover_optlevel 3 cover_stmt 0 cover_toggle 0 dont_compile 0 file_type verilog folder bus group_id 0 last_compile 1 ood 0 toggle - vlog_0InOptions {} vlog_1995compat 0 vlog_disableopt 0 vlog_enable0In 0 vlog_hazard 0 vlog_nodebug 0 vlog_noload 0 vlog_options {} vlog_protect 0 vlog_showsource 0 vlog_upper 0 voptflow 1
484
Project_File_20 = $ROOT/cpu/bus/data_pins.v
485
Project_File_P_20 = compile_order 14 compile_to work cover_branch 0 cover_cond 0 cover_covercells 0 cover_excludedefault 0 cover_expr 0 cover_exttoggle 0 cover_fsm 0 cover_nofec 0 cover_noshort 0 cover_optlevel 3 cover_stmt 0 cover_toggle 0 dont_compile 0 file_type verilog folder bus group_id 0 last_compile 1 ood 0 toggle - vlog_0InOptions {} vlog_1995compat 0 vlog_disableopt 0 vlog_enable0In 0 vlog_hazard 0 vlog_nodebug 0 vlog_noload 0 vlog_options {} vlog_protect 0 vlog_showsource 0 vlog_upper 0 voptflow 1
486
Project_File_21 = $ROOT/cpu/bus/data_switch.v
487
Project_File_P_21 = compile_order 15 compile_to work cover_branch 0 cover_cond 0 cover_covercells 0 cover_excludedefault 0 cover_expr 0 cover_exttoggle 0 cover_fsm 0 cover_nofec 0 cover_noshort 0 cover_optlevel 3 cover_stmt 0 cover_toggle 0 dont_compile 0 file_type verilog folder bus group_id 0 last_compile 1 ood 0 toggle - vlog_0InOptions {} vlog_1995compat 0 vlog_disableopt 0 vlog_enable0In 0 vlog_hazard 0 vlog_nodebug 0 vlog_noload 0 vlog_options {} vlog_protect 0 vlog_showsource 0 vlog_upper 0 voptflow 1
488
Project_File_22 = $ROOT/cpu/bus/data_switch_mask.v
489
Project_File_P_22 = compile_order 34 compile_to work cover_branch 0 cover_cond 0 cover_covercells 0 cover_excludedefault 0 cover_expr 0 cover_exttoggle 0 cover_fsm 0 cover_nofec 0 cover_noshort 0 cover_optlevel 3 cover_stmt 0 cover_toggle 0 dont_compile 0 file_type verilog folder bus group_id 0 last_compile 1 ood 0 toggle - vlog_0InOptions {} vlog_1995compat 0 vlog_disableopt 0 vlog_enable0In 0 vlog_hazard 0 vlog_nodebug 0 vlog_noload 0 vlog_options {} vlog_protect 0 vlog_showsource 0 vlog_upper 0 voptflow 1
490
Project_File_23 = $ROOT/cpu/bus/inc_dec.v
491
Project_File_P_23 = compile_order 16 compile_to work cover_branch 0 cover_cond 0 cover_covercells 0 cover_excludedefault 0 cover_expr 0 cover_exttoggle 0 cover_fsm 0 cover_nofec 0 cover_noshort 0 cover_optlevel 3 cover_stmt 0 cover_toggle 0 dont_compile 0 file_type verilog folder bus group_id 0 last_compile 1 ood 0 toggle - vlog_0InOptions {} vlog_1995compat 0 vlog_disableopt 0 vlog_enable0In 0 vlog_hazard 0 vlog_nodebug 0 vlog_noload 0 vlog_options {} vlog_protect 0 vlog_showsource 0 vlog_upper 0 voptflow 1
492
Project_File_24 = $ROOT/cpu/bus/inc_dec_2bit.v
493
Project_File_P_24 = compile_order 17 compile_to work cover_branch 0 cover_cond 0 cover_covercells 0 cover_excludedefault 0 cover_expr 0 cover_exttoggle 0 cover_fsm 0 cover_nofec 0 cover_noshort 0 cover_optlevel 3 cover_stmt 0 cover_toggle 0 dont_compile 0 file_type verilog folder bus group_id 0 last_compile 1 ood 0 toggle - vlog_0InOptions {} vlog_1995compat 0 vlog_disableopt 0 vlog_enable0In 0 vlog_hazard 0 vlog_nodebug 0 vlog_noload 0 vlog_options {} vlog_protect 0 vlog_showsource 0 vlog_upper 0 voptflow 1
494
Project_File_25 = $ROOT/cpu/control/clk_delay.v
495
Project_File_P_25 = compile_order 28 compile_to work cover_branch 0 cover_cond 0 cover_covercells 0 cover_excludedefault 0 cover_expr 0 cover_exttoggle 0 cover_fsm 0 cover_nofec 0 cover_noshort 0 cover_optlevel 3 cover_stmt 0 cover_toggle 0 dont_compile 0 file_type verilog folder control group_id 0 last_compile 1 ood 0 toggle - vlog_0InOptions {} vlog_1995compat 0 vlog_disableopt 0 vlog_enable0In 0 vlog_hazard 0 vlog_nodebug 0 vlog_noload 0 vlog_options {} vlog_protect 0 vlog_showsource 0 vlog_upper 0 voptflow 1
496
Project_File_26 = $ROOT/cpu/control/decode_state.v
497
Project_File_P_26 = compile_order 29 compile_to work cover_branch 0 cover_cond 0 cover_covercells 0 cover_excludedefault 0 cover_expr 0 cover_exttoggle 0 cover_fsm 0 cover_nofec 0 cover_noshort 0 cover_optlevel 3 cover_stmt 0 cover_toggle 0 dont_compile 0 file_type verilog folder control group_id 0 last_compile 1 ood 0 toggle - vlog_0InOptions {} vlog_1995compat 0 vlog_disableopt 0 vlog_enable0In 0 vlog_hazard 0 vlog_nodebug 0 vlog_noload 0 vlog_options {} vlog_protect 0 vlog_showsource 0 vlog_upper 0 voptflow 1
498 8 gdevic
Project_File_27 = $ROOT/cpu/control/execute.v
499 3 gdevic
Project_File_P_27 = compile_order 18 compile_to work cover_branch 0 cover_cond 0 cover_covercells 0 cover_excludedefault 0 cover_expr 0 cover_exttoggle 0 cover_fsm 0 cover_nofec 0 cover_noshort 0 cover_optlevel 3 cover_stmt 0 cover_toggle 0 dont_compile 0 file_type systemverilog folder control group_id 0 last_compile 1 ood 0 toggle - vlog_0InOptions {} vlog_1995compat SV vlog_disableopt 0 vlog_enable0In 0 vlog_hazard 0 vlog_nodebug 0 vlog_noload 0 vlog_options +incdir+../../../control vlog_protect 0 vlog_showsource 1 vlog_upper 0 voptflow 1
500
Project_File_28 = $ROOT/cpu/control/interrupts.v
501
Project_File_P_28 = compile_order 27 compile_to work cover_branch 0 cover_cond 0 cover_covercells 0 cover_excludedefault 0 cover_expr 0 cover_exttoggle 0 cover_fsm 0 cover_nofec 0 cover_noshort 0 cover_optlevel 3 cover_stmt 0 cover_toggle 0 dont_compile 0 file_type verilog folder control group_id 0 last_compile 1 ood 0 toggle - vlog_0InOptions {} vlog_1995compat 0 vlog_disableopt 0 vlog_enable0In 0 vlog_hazard 0 vlog_nodebug 0 vlog_noload 0 vlog_options {} vlog_protect 0 vlog_showsource 0 vlog_upper 0 voptflow 1
502
Project_File_29 = $ROOT/cpu/control/ir.v
503
Project_File_P_29 = compile_order 19 compile_to work cover_branch 0 cover_cond 0 cover_covercells 0 cover_excludedefault 0 cover_expr 0 cover_exttoggle 0 cover_fsm 0 cover_nofec 0 cover_noshort 0 cover_optlevel 3 cover_stmt 0 cover_toggle 0 dont_compile 0 file_type verilog folder control group_id 0 last_compile 1 ood 0 toggle - vlog_0InOptions {} vlog_1995compat 0 vlog_disableopt 0 vlog_enable0In 0 vlog_hazard 0 vlog_nodebug 0 vlog_noload 0 vlog_options {} vlog_protect 0 vlog_showsource 0 vlog_upper 0 voptflow 1
504
Project_File_30 = $ROOT/cpu/control/memory_ifc.v
505
Project_File_P_30 = compile_order 41 compile_to work cover_branch 0 cover_cond 0 cover_covercells 0 cover_excludedefault 0 cover_expr 0 cover_exttoggle 0 cover_fsm 0 cover_nofec 0 cover_noshort 0 cover_optlevel 3 cover_stmt 0 cover_toggle 0 dont_compile 0 file_type verilog folder control group_id 0 last_compile 1 ood 0 toggle - vlog_0InOptions {} vlog_1995compat 0 vlog_disableopt 0 vlog_enable0In 0 vlog_hazard 0 vlog_nodebug 0 vlog_noload 0 vlog_options {} vlog_protect 0 vlog_showsource 0 vlog_upper 0 voptflow 1
506
Project_File_31 = $ROOT/cpu/control/pin_control.v
507
Project_File_P_31 = compile_order 43 compile_to work cover_branch 0 cover_cond 0 cover_covercells 0 cover_excludedefault 0 cover_expr 0 cover_exttoggle 0 cover_fsm 0 cover_nofec 0 cover_noshort 0 cover_optlevel 3 cover_stmt 0 cover_toggle 0 dont_compile 0 file_type verilog folder control group_id 0 last_compile 1 ood 0 toggle - vlog_0InOptions {} vlog_1995compat 0 vlog_disableopt 0 vlog_enable0In 0 vlog_hazard 0 vlog_nodebug 0 vlog_noload 0 vlog_options {} vlog_protect 0 vlog_showsource 0 vlog_upper 0 voptflow 1
508 8 gdevic
Project_File_32 = $ROOT/cpu/control/pla_decode.v
509 3 gdevic
Project_File_P_32 = compile_order 20 compile_to work cover_branch 0 cover_cond 0 cover_covercells 0 cover_excludedefault 0 cover_expr 0 cover_exttoggle 0 cover_fsm 0 cover_nofec 0 cover_noshort 0 cover_optlevel 3 cover_stmt 0 cover_toggle 0 dont_compile 0 file_type systemverilog folder control group_id 0 last_compile 1 ood 0 toggle - vlog_0InOptions {} vlog_1995compat SV vlog_disableopt 0 vlog_enable0In 0 vlog_hazard 0 vlog_nodebug 0 vlog_noload 0 vlog_options {} vlog_protect 0 vlog_showsource 0 vlog_upper 0 voptflow 1
510
Project_File_33 = $ROOT/cpu/control/resets.v
511
Project_File_P_33 = compile_order 37 compile_to work cover_branch 0 cover_cond 0 cover_covercells 0 cover_excludedefault 0 cover_expr 0 cover_exttoggle 0 cover_fsm 0 cover_nofec 0 cover_noshort 0 cover_optlevel 3 cover_stmt 0 cover_toggle 0 dont_compile 0 file_type verilog folder control group_id 0 last_compile 1 ood 0 toggle - vlog_0InOptions {} vlog_1995compat 0 vlog_disableopt 0 vlog_enable0In 0 vlog_hazard 0 vlog_nodebug 0 vlog_noload 0 vlog_options {} vlog_protect 0 vlog_showsource 0 vlog_upper 0 voptflow 1
512
Project_File_34 = $ROOT/cpu/control/sequencer.v
513
Project_File_P_34 = compile_order 21 compile_to work cover_branch 0 cover_cond 0 cover_covercells 0 cover_excludedefault 0 cover_expr 0 cover_exttoggle 0 cover_fsm 0 cover_nofec 0 cover_noshort 0 cover_optlevel 3 cover_stmt 0 cover_toggle 0 dont_compile 0 file_type verilog folder control group_id 0 last_compile 1 ood 0 toggle - vlog_0InOptions {} vlog_1995compat 0 vlog_disableopt 0 vlog_enable0In 0 vlog_hazard 0 vlog_nodebug 0 vlog_noload 0 vlog_options {} vlog_protect 0 vlog_showsource 0 vlog_upper 0 voptflow 1
514
Project_File_35 = $ROOT/cpu/registers/reg_control.v
515
Project_File_P_35 = compile_order 22 compile_to work cover_branch 0 cover_cond 0 cover_covercells 0 cover_excludedefault 0 cover_expr 0 cover_exttoggle 0 cover_fsm 0 cover_nofec 0 cover_noshort 0 cover_optlevel 3 cover_stmt 0 cover_toggle 0 dont_compile 0 file_type verilog folder registers group_id 0 last_compile 1 ood 0 toggle - vlog_0InOptions {} vlog_1995compat 0 vlog_disableopt 0 vlog_enable0In 0 vlog_hazard 0 vlog_nodebug 0 vlog_noload 0 vlog_options {} vlog_protect 0 vlog_showsource 0 vlog_upper 0 voptflow 1
516
Project_File_36 = $ROOT/cpu/registers/reg_file.v
517
Project_File_P_36 = compile_order 23 compile_to work cover_branch 0 cover_cond 0 cover_covercells 0 cover_excludedefault 0 cover_expr 0 cover_exttoggle 0 cover_fsm 0 cover_nofec 0 cover_noshort 0 cover_optlevel 3 cover_stmt 0 cover_toggle 0 dont_compile 0 file_type verilog folder registers group_id 0 last_compile 1 ood 0 toggle - vlog_0InOptions {} vlog_1995compat 0 vlog_disableopt 0 vlog_enable0In 0 vlog_hazard 0 vlog_nodebug 0 vlog_noload 0 vlog_options {} vlog_protect 0 vlog_showsource 0 vlog_upper 0 voptflow 1
518
Project_File_37 = $ROOT/cpu/registers/reg_latch.v
519
Project_File_P_37 = compile_order 24 compile_to work cover_branch 0 cover_cond 0 cover_covercells 0 cover_excludedefault 0 cover_expr 0 cover_exttoggle 0 cover_fsm 0 cover_nofec 0 cover_noshort 0 cover_optlevel 3 cover_stmt 0 cover_toggle 0 dont_compile 0 file_type verilog folder registers group_id 0 last_compile 1 ood 0 toggle - vlog_0InOptions {} vlog_1995compat 0 vlog_disableopt 0 vlog_enable0In 0 vlog_hazard 0 vlog_nodebug 0 vlog_noload 0 vlog_options {} vlog_protect 0 vlog_showsource 0 vlog_upper 0 voptflow 1
520
Project_File_38 = $ROOT/cpu/toplevel/tb_io.sv
521
Project_File_P_38 = compile_order 35 compile_to work cover_branch 0 cover_cond 0 cover_covercells 0 cover_excludedefault 0 cover_expr 0 cover_exttoggle 0 cover_fsm 0 cover_nofec 0 cover_noshort 0 cover_optlevel 3 cover_stmt 0 cover_toggle 0 dont_compile 0 file_type systemverilog folder toplevel group_id 0 last_compile 1 ood 0 toggle - vlog_0InOptions {} vlog_1995compat SV vlog_disableopt 0 vlog_enable0In 0 vlog_hazard 0 vlog_nodebug 0 vlog_noload 0 vlog_options {} vlog_protect 0 vlog_showsource 0 vlog_upper 0 voptflow 1
522
Project_File_39 = $ROOT/cpu/toplevel/tb_iorq.sv
523
Project_File_P_39 = compile_order 36 compile_to work cover_branch 0 cover_cond 0 cover_covercells 0 cover_excludedefault 0 cover_expr 0 cover_exttoggle 0 cover_fsm 0 cover_nofec 0 cover_noshort 0 cover_optlevel 3 cover_stmt 0 cover_toggle 0 dont_compile 0 file_type systemverilog folder toplevel group_id 0 last_compile 1 ood 0 toggle - vlog_0InOptions {} vlog_1995compat SV vlog_disableopt 0 vlog_enable0In 0 vlog_hazard 0 vlog_nodebug 0 vlog_noload 0 vlog_options {} vlog_protect 0 vlog_showsource 0 vlog_upper 0 voptflow 1
524
Project_File_40 = $ROOT/cpu/toplevel/tb_ram.sv
525
Project_File_P_40 = compile_order 31 compile_to work cover_branch 0 cover_cond 0 cover_covercells 0 cover_excludedefault 0 cover_expr 0 cover_exttoggle 0 cover_fsm 0 cover_nofec 0 cover_noshort 0 cover_optlevel 3 cover_stmt 0 cover_toggle 0 dont_compile 0 file_type systemverilog folder toplevel group_id 0 last_compile 1 ood 0 toggle - vlog_0InOptions {} vlog_1995compat SV vlog_disableopt 0 vlog_enable0In 0 vlog_hazard 0 vlog_nodebug 0 vlog_noload 0 vlog_options {} vlog_protect 0 vlog_showsource 0 vlog_upper 0 voptflow 1
526
Project_File_41 = $ROOT/cpu/toplevel/test_fuse.sv
527
Project_File_P_41 = compile_order 33 compile_to work cover_branch 0 cover_cond 0 cover_covercells 0 cover_excludedefault 0 cover_expr 0 cover_exttoggle 0 cover_fsm 0 cover_nofec 0 cover_noshort 0 cover_optlevel 3 cover_stmt 0 cover_toggle 0 dont_compile 0 file_type systemverilog folder toplevel group_id 0 last_compile 1 ood 0 toggle - vlog_0InOptions {} vlog_1995compat SV vlog_disableopt 0 vlog_enable0In 0 vlog_hazard 0 vlog_nodebug 0 vlog_noload 0 vlog_options +incdir+../../../toplevel vlog_protect 0 vlog_showsource 0 vlog_upper 0 voptflow 1
528
Project_File_42 = $ROOT/cpu/toplevel/test_top.sv
529
Project_File_P_42 = compile_order 25 compile_to work cover_branch 0 cover_cond 0 cover_covercells 0 cover_excludedefault 0 cover_expr 0 cover_exttoggle 0 cover_fsm 0 cover_nofec 0 cover_noshort 0 cover_optlevel 3 cover_stmt 0 cover_toggle 0 dont_compile 0 file_type systemverilog folder toplevel group_id 0 last_compile 1 ood 0 toggle - vlog_0InOptions {} vlog_1995compat SV vlog_disableopt 0 vlog_enable0In 0 vlog_hazard 0 vlog_nodebug 0 vlog_noload 0 vlog_options +incdir+../../../toplevel vlog_protect 0 vlog_showsource 0 vlog_upper 0 voptflow 1
530
Project_File_43 = $ROOT/cpu/toplevel/z80.svh
531
Project_File_P_43 = compile_order -1 compile_to work cover_branch 0 cover_cond 0 cover_covercells 0 cover_excludedefault 0 cover_expr 0 cover_exttoggle 0 cover_fsm 0 cover_nofec 0 cover_noshort 0 cover_optlevel 3 cover_stmt 0 cover_toggle 0 dont_compile 1 file_type systemverilog folder toplevel group_id 0 last_compile 1 ood 0 toggle - vlog_0InOptions {} vlog_1995compat SV vlog_disableopt 0 vlog_enable0In 0 vlog_hazard 0 vlog_nodebug 0 vlog_noload 0 vlog_options {} vlog_protect 0 vlog_showsource 0 vlog_upper 0 voptflow 1
532
Project_File_44 = $ROOT/cpu/toplevel/z80_top_ifc_n.sv
533
Project_File_P_44 = compile_order 38 compile_to work cover_branch 0 cover_cond 0 cover_covercells 0 cover_excludedefault 0 cover_expr 0 cover_exttoggle 0 cover_fsm 0 cover_nofec 0 cover_noshort 0 cover_optlevel 3 cover_stmt 0 cover_toggle 0 dont_compile 0 file_type systemverilog folder toplevel group_id 0 last_compile 1 ood 0 toggle - vlog_0InOptions {} vlog_1995compat SV vlog_disableopt 0 vlog_enable0In 0 vlog_hazard 0 vlog_nodebug 0 vlog_noload 0 vlog_options +incdir+../../ vlog_protect 0 vlog_showsource 0 vlog_upper 0 voptflow 1
534
Project_Sim_Count = 2
535
Project_Sim_0 = test_top
536
Project_Sim_P_0 = timing default -t default -sdfnoerror 0 -nofileshare 0 +no_pulse_msg 0 -Lf {} Generics {} +notimingchecks 0 ok 1 folder {Top Level} +pulse_e {} additional_dus work.test_top -assertfile {} -std_output {} -L {} -nopsl 0 -nosva 0 +pulse_r {} -absentisempty 0 -assertcover 0 -multisource_delay {} OtherArgs {} -vital2.2b 0 is_vopt_flow 0 -memprof 0 -noglitch 0 -0in_options {} selected_du {} -sdf {} -hazards 0 -0in 0 vopt_env 1 -coverage 0 +plusarg {} -assertdebug 0 -wlf {} -sdfnowarn 0 -std_input {}
537
Project_Sim_1 = test_fuse
538
Project_Sim_P_1 = timing default -t default -sdfnoerror 0 -nofileshare 0 +no_pulse_msg 0 -Lf {} Generics {} +notimingchecks 0 ok 1 folder {Top Level} +pulse_e {} additional_dus work.test_fuse -assertfile {} -std_output {} -L {} -nopsl 0 -nosva 0 +pulse_r {} -absentisempty 0 -assertcover 0 -multisource_delay {} OtherArgs {} -vital2.2b 0 is_vopt_flow 0 -memprof 0 -noglitch 0 -0in_options {} selected_du {} -sdf {} -hazards 0 -0in 0 vopt_env 1 -coverage 0 +plusarg {} -assertdebug 0 -wlf {} -sdfnowarn 0 -std_input {}
539
Project_Folder_Count = 5
540
Project_Folder_0 = registers
541
Project_Folder_P_0 = folder {Top Level}
542
Project_Folder_1 = control
543
Project_Folder_P_1 = folder {Top Level}
544
Project_Folder_2 = alu
545
Project_Folder_P_2 = folder {Top Level}
546
Project_Folder_3 = bus
547
Project_Folder_P_3 = folder {Top Level}
548
Project_Folder_4 = toplevel
549
Project_Folder_P_4 = folder {Top Level}
550
Echo_Compile_Output = 0
551
Save_Compile_Report = 1
552
Project_Opt_Count = 0
553
ForceSoftPaths = 1
554
ProjectStatusDelay = 5000
555
VERILOG_DoubleClick = Edit
556 8 gdevic
VERILOG_CustomDoubleClick =
557 3 gdevic
SYSTEMVERILOG_DoubleClick = Compile
558 8 gdevic
SYSTEMVERILOG_CustomDoubleClick =
559 3 gdevic
VHDL_DoubleClick = Edit
560 8 gdevic
VHDL_CustomDoubleClick =
561 3 gdevic
PSL_DoubleClick = Edit
562 8 gdevic
PSL_CustomDoubleClick =
563 3 gdevic
TEXT_DoubleClick = Edit
564 8 gdevic
TEXT_CustomDoubleClick =
565 3 gdevic
SYSTEMC_DoubleClick = Edit
566 8 gdevic
SYSTEMC_CustomDoubleClick =
567 3 gdevic
TCL_DoubleClick = Edit
568 8 gdevic
TCL_CustomDoubleClick =
569 3 gdevic
MACRO_DoubleClick = Edit
570 8 gdevic
MACRO_CustomDoubleClick =
571 3 gdevic
VCD_DoubleClick = Edit
572 8 gdevic
VCD_CustomDoubleClick =
573 3 gdevic
SDF_DoubleClick = Edit
574 8 gdevic
SDF_CustomDoubleClick =
575 3 gdevic
XML_DoubleClick = Edit
576 8 gdevic
XML_CustomDoubleClick =
577 3 gdevic
LOGFILE_DoubleClick = Edit
578 8 gdevic
LOGFILE_CustomDoubleClick =
579 3 gdevic
UCDB_DoubleClick = Edit
580 8 gdevic
UCDB_CustomDoubleClick =
581 3 gdevic
UPF_DoubleClick = Edit
582 8 gdevic
UPF_CustomDoubleClick =
583 3 gdevic
PCF_DoubleClick = Edit
584 8 gdevic
PCF_CustomDoubleClick =
585 3 gdevic
PROJECT_DoubleClick = Edit
586 8 gdevic
PROJECT_CustomDoubleClick =
587 3 gdevic
VRM_DoubleClick = Edit
588 8 gdevic
VRM_CustomDoubleClick =
589 3 gdevic
DEBUGDATABASE_DoubleClick = Edit
590 8 gdevic
DEBUGDATABASE_CustomDoubleClick =
591 3 gdevic
DEBUGARCHIVE_DoubleClick = Edit
592 8 gdevic
DEBUGARCHIVE_CustomDoubleClick =
593 3 gdevic
Project_Major_Version = 10
594
Project_Minor_Version = 1

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.